enjoy-digital

enjoy-digital

Geek Repo

Company:EnjoyDigital

Location:France

Home Page:http://www.enjoy-digital.fr

Github PK Tool:Github PK Tool


Organizations
betrusted-io
litex-hub
timvideos

enjoy-digital's repositories

litex

Build your hardware, easily!

Language:CLicense:NOASSERTIONStargazers:2697Issues:97Issues:766

litepcie

Small footprint and configurable PCIe core

Language:PythonLicense:NOASSERTIONStargazers:438Issues:28Issues:67

litedram

Small footprint and configurable DRAM core

Language:PythonLicense:NOASSERTIONStargazers:360Issues:24Issues:162

liteeth

Small footprint and configurable Ethernet core

Language:PythonLicense:NOASSERTIONStargazers:192Issues:17Issues:64

litescope

Small footprint and configurable embedded FPGA logic analyzer

Language:PythonLicense:NOASSERTIONStargazers:157Issues:16Issues:22

pcie_screamer

PCIe Screamer - TLPs experiments...

Language:CLicense:BSD-2-ClauseStargazers:155Issues:18Issues:10

litesata

Small footprint and configurable SATA core

Language:PythonLicense:NOASSERTIONStargazers:120Issues:18Issues:16

litesdcard

Small footprint and configurable SDCard core

Language:PythonLicense:NOASSERTIONStargazers:107Issues:13Issues:12

colorlite

Take control of your Colorlight FPGA board with LiteX/LiteEth :)

Language:PythonLicense:BSD-2-ClauseStargazers:91Issues:10Issues:8

liteiclink

Small footprint and configurable Inter-Chip communication cores

Language:PythonLicense:NOASSERTIONStargazers:51Issues:11Issues:6

litex-acorn-baseboard

LiteX development baseboards arround the SQRL Acorn.

Language:PythonLicense:NOASSERTIONStargazers:47Issues:13Issues:8

litejesd204b

Small footprint and configurable JESD204B core

Language:PythonLicense:NOASSERTIONStargazers:36Issues:4Issues:4

litex_rp2040_pmod_test

Test of a RP2040 PMOD attached to a LiteX SoC.

xtrx_julia

XTRX LiteX/LitePCIe based design for Julia Computing

Language:CLicense:BSD-2-ClauseStargazers:21Issues:7Issues:13

thunderscope

LiteX based FPGA gateware for Thunderscope.

litex_verilog_axi_test

Integration test of Verilog AXI modules (https://github.com/alexforencich/verilog-axi) with LiteX.

litex_hw_ci

LiteX Hardware CI tests.

Language:PythonStargazers:6Issues:0Issues:0

openFPGALoader

Universal utility for programming FPGA

Language:C++License:Apache-2.0Stargazers:5Issues:0Issues:0

litex_soc_gen_test

Test of LiteX standalone SoC generator.

litepcie_ptm_test

LitePCIe PTM support / test repo.

Language:JavaScriptStargazers:3Issues:0Issues:0

acorn_pcie_compute_test

PCIe compute test on Acorn CLE 215+.

Language:PythonStargazers:1Issues:4Issues:0

corescore

CoreScore

Language:VerilogLicense:Apache-2.0Stargazers:1Issues:2Issues:0

LimeSuite

Driver and GUI for LMS7002M-based SDR platforms

Language:CLicense:Apache-2.0Stargazers:1Issues:0Issues:0

litex_mister_test

Simplification test of MiSTer with LiteX to try to help/contribute to MiSTeX project.

openocd

Spen's Official OpenOCD Mirror (no pull requests)

Language:CLicense:GPL-2.0Stargazers:1Issues:2Issues:0
Language:PythonLicense:MITStargazers:0Issues:0Issues:0

litex_64bit_addressing_test

Test/PoC of 64-bit addressing in LiteX.

Language:PythonStargazers:0Issues:2Issues:4

litex_verilog_axis_test

Integration test of Verilog AXI Stream modules (https://github.com/alexforencich/verilog-axis) with LiteX.

Language:PythonStargazers:0Issues:3Issues:0

openfpga-pong

FPGA Pong implementation, specifically for the Analogue Pocket

Language:VerilogLicense:MITStargazers:0Issues:0Issues:0