Leo (lcapossio)

lcapossio

Geek Repo

Location:Everywhere!

Github PK Tool:Github PK Tool

Leo's starred repositories

openwifi

open-source IEEE 802.11 WiFi baseband FPGA (chip) design: driver, software

Language:CLicense:AGPL-3.0Stargazers:3771Issues:134Issues:338

litex

Build your hardware, easily!

Language:CLicense:NOASSERTIONStargazers:2861Issues:97Issues:802

VexRiscv

A FPGA friendly 32 bit RISC-V CPU implementation

Language:AssemblyLicense:MITStargazers:2391Issues:101Issues:328

altium-library

Open source Altium Database Library with over 200,000 high quality components and full 3d models.

openocd

Official OpenOCD Read-Only Mirror (no pull requests)

Language:CLicense:NOASSERTIONStargazers:1612Issues:49Issues:0

clash-compiler

Haskell to VHDL/Verilog/SystemVerilog compiler

Language:HaskellLicense:NOASSERTIONStargazers:1414Issues:56Issues:960

serv

SERV - The SErial RISC-V CPU

Language:VerilogLicense:ISCStargazers:1354Issues:37Issues:58

linux-xlnx

The official Linux kernel from Xilinx

Language:CLicense:NOASSERTIONStargazers:1330Issues:233Issues:0

bsc

Bluespec Compiler (BSC)

Language:HaskellLicense:NOASSERTIONStargazers:924Issues:49Issues:306

embeddedsw

Xilinx Embedded Software (embeddedsw) Development

Language:HTMLLicense:NOASSERTIONStargazers:917Issues:111Issues:217

verilog-axis

Verilog AXI stream components for FPGA implementation

Language:PythonLicense:MITStargazers:704Issues:47Issues:21

microwatt

A tiny Open POWER ISA softcore written in VHDL 2008

Language:VerilogLicense:NOASSERTIONStargazers:652Issues:41Issues:71

nmigen

A refreshed Python toolbox for building complex digital hardware. See https://gitlab.com/nmigen/nmigen

Language:PythonLicense:NOASSERTIONStargazers:649Issues:50Issues:245

Pyverilog

Python-based Hardware Design Processing Toolkit for Verilog HDL

Language:PythonLicense:Apache-2.0Stargazers:613Issues:41Issues:92

litedram

Small footprint and configurable DRAM core

Language:PythonLicense:NOASSERTIONStargazers:371Issues:24Issues:163

pyuvm

The UVM written in Python

Language:PythonLicense:NOASSERTIONStargazers:350Issues:30Issues:52

rggen

Code generation tool for control and status registers

Language:RubyLicense:MITStargazers:316Issues:14Issues:156

RapidWright

Build Customized FPGA Implementations for Vivado

Language:JavaLicense:NOASSERTIONStargazers:284Issues:27Issues:247

hardh264

A hardware h264 video encoder written in VHDL. Designed to be synthesized into an FPGA. Initial testing is using Xilinx tools and FPGAs but it is not specific to Xilinx.

Language:VHDLLicense:NOASSERTIONStargazers:269Issues:28Issues:8

OpenFASOC

Fully Open Source FASOC generators built on top of open-source EDA tools

Language:PythonLicense:Apache-2.0Stargazers:225Issues:19Issues:86

OSVVM

OSVVM Utility Library: AlertLogPkg, CoveragePkg, RandomPkg, ScoreboardGenericPkg, MemoryPkg, TbUtilPkg, TranscriptPkg, ...

Language:VHDLLicense:NOASSERTIONStargazers:218Issues:27Issues:66

Toooba

RISC-V Core; superscalar, out-of-order, multi-core capable; based on RISCY-OOO from MIT

Language:VerilogLicense:NOASSERTIONStargazers:157Issues:15Issues:4

verilog-cam

Verilog Content Addressable Memory Module

Language:VerilogLicense:MITStargazers:98Issues:12Issues:5

fsva

FuseSoc Verification Automation

Language:VHDLLicense:MITStargazers:21Issues:3Issues:5

Masking-Detection

Object Detection About Masking For Xilinx Summer School

Language:Jupyter NotebookStargazers:18Issues:2Issues:0

WAVE-Vivado

HDL and C source for WAVE Zynq Ultrascale+ SoC

Language:CStargazers:14Issues:0Issues:0

betrusted-hardware-xt

Early experimental circuits for the betrusted hardware design

License:NOASSERTIONStargazers:8Issues:5Issues:0

acceleration_firmware_ultra96v2

Avnet ultra96v2 firmware. Package for enabling hardware acceleration capabilities in ROS 2 with Ultra96v2 board.

License:Apache-2.0Stargazers:4Issues:0Issues:0
Stargazers:1Issues:0Issues:0