Hicret Erkoç's repositories

Dual-Core-RISC-V-Processor

A dual core RISC-V processor (using PULP platform SoC) implemented on a Digilent Arty S7-50 FPGA board.

Language:VerilogStargazers:2Issues:0Issues:0
Language:VerilogLicense:Apache-2.0Stargazers:1Issues:0Issues:0

Cores-SweRV

SweRV EH1 core

Language:SystemVerilogLicense:Apache-2.0Stargazers:1Issues:0Issues:0

MIPS-CPU-fork

A Simulative MIPS CPU running on Logisim.

License:MITStargazers:1Issues:0Issues:0
Language:VerilogLicense:Apache-2.0Stargazers:1Issues:0Issues:0

wbspi

A collection of SPI related cores

Stargazers:1Issues:0Issues:0

awesome_photonics

😎 curated list of open source photonics projects

Language:MakefileLicense:CC0-1.0Stargazers:0Issues:0Issues:0

adc_block_ram_spi_top

Xilinx Artix-7 FPGA design using block ram, XADC and a SPI slave (SCARF). The block ram is dual port and can be written by either SPI or XADC samples, and only read by SPI.

License:MITStargazers:0Issues:0Issues:0

awesome

A curated list of awesome resources for HDL design and verification

License:CC0-1.0Stargazers:0Issues:0Issues:0

awesome-opensource-hardware

List of awesome open source hardware tools, generators, and reusable designs

License:MITStargazers:0Issues:0Issues:0

AXI4_Master_Interconnect_Slave

A generic implementation of AMBA AXI4 communication protocol. The design provides a master, a slave and an interconnect with multiple masters arbitration. Simulation waveforms are also included.

License:MITStargazers:0Issues:0Issues:0

AXI4Bus

一套AXI4 interconnect 组件,通过简单连接可以搭建需要的AXI interconnect。

License:GPL-3.0Stargazers:0Issues:0Issues:0

cmod_a7_spi_sram

SPI slave to External SRAM interface for Cmod A7

License:MITStargazers:0Issues:0Issues:0

esnet-fpga-library

ESnet general-purpose FPGA design library.

License:NOASSERTIONStargazers:0Issues:0Issues:0

FPGA_MCU_SPI_COM

Simple SPI-based communication between FPGA and MCU, using EP4CE15 and STM32F407 as an example

License:MITStargazers:0Issues:0Issues:0

fpga_screensaver

This project implements the VGA protocol and allows custom images to be displayed to the screen using the Sipeed Tang Nano FPGA dev board.

License:UnlicenseStargazers:0Issues:0Issues:0

meep

free finite-difference time-domain (FDTD) software for electromagnetic simulations

License:GPL-2.0Stargazers:0Issues:0Issues:0

OpenFASOC

Fully Open Source FASOC generators built on top of open-source EDA tools

License:Apache-2.0Stargazers:0Issues:0Issues:0

QuadSPI

RTL development of Quad Serial Peripheral Interface (Quad-SPI) on QuestaSim using SystemVerilog.

License:Apache-2.0Stargazers:0Issues:0Issues:0

SiPANN

Artifical Neural Networks for use with Quantum Photonics

License:MITStargazers:0Issues:0Issues:0

spi-master

SPI Master for FPGA - VHDL and Verilog

License:MITStargazers:0Issues:0Issues:0

spi-to-axi-bridge

An SPI to AXI4-lite bridge for easy interfacing of airhdl register banks with any microcontroller.

License:Apache-2.0Stargazers:0Issues:0Issues:0

spi_slave_simple

Simple System Verilog implementation of SPI Slave

License:BSD-2-ClauseStargazers:0Issues:0Issues:0

SPIglass

A fully synthesizable, BRAM backed SPI Flash device

Stargazers:0Issues:0Issues:0
Language:VerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

verilog-axi

Verilog AXI components for FPGA implementation

License:MITStargazers:0Issues:0Issues:0

verilog-uart

Verilog UART

License:MITStargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0

vscode-hyhdl

VScode extention: instantiation, testbench, documentation for verilog

License:MITStargazers:0Issues:0Issues:0