201934744

201934744

Geek Repo

Github PK Tool:Github PK Tool

201934744's starred repositories

yosys

Yosys Open SYnthesis Suite

vtr-verilog-to-routing

Verilog to Routing -- Open Source CAD Flow for FPGA Research

Language:C++License:NOASSERTIONStargazers:995Issues:68Issues:979

OpenFPGA

An Open-source FPGA IP Generator

Language:VerilogLicense:MITStargazers:784Issues:42Issues:437

prjxray

Documenting the Xilinx 7-series bit-stream format.

Language:PythonLicense:ISCStargazers:753Issues:60Issues:477

hal

HAL – The Hardware Analyzer

Language:C++License:MITStargazers:534Issues:24Issues:137

arachne-pnr

Place and route tool for FPGAs

Language:C++License:MITStargazers:414Issues:63Issues:71

f4pga

FOSS Flow For FPGA

Language:PythonLicense:Apache-2.0Stargazers:345Issues:17Issues:44

f4pga-examples

Example designs showing different ways to use F4PGA toolchains.

Language:VerilogLicense:Apache-2.0Stargazers:262Issues:24Issues:165

yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.

Language:VerilogLicense:Apache-2.0Stargazers:83Issues:18Issues:121

debit

Reverse-engineering tools for FPGA bitstreams, Altera and Xilinx

Language:CLicense:GPL-3.0Stargazers:76Issues:5Issues:0

prjuray

Documenting the Xilinx Ultrascale, Ultrascale+ and UltraScale MPSoC series bit-stream format.

Language:SystemVerilogLicense:Apache-2.0Stargazers:70Issues:19Issues:21

fos

FOS - FPGA Operating System

Language:VHDLLicense:NOASSERTIONStargazers:62Issues:11Issues:4

prjtrellis

Documenting the Lattice ECP5 bit-stream format.

Language:PythonLicense:NOASSERTIONStargazers:50Issues:4Issues:0

RapidSmith2

RapidSmith2 - the Vivado successor to RapidSmith. Released Jan 4, 2017.

Language:JavaLicense:NOASSERTIONStargazers:41Issues:11Issues:183

tincr

A Tcl-based CAD Tool Framework for Xilinx's Vivado Design Suite

Language:TclLicense:GPL-2.0Stargazers:39Issues:11Issues:31

vtr-verilog-to-routing

SymbiFlow WIP changes for Verilog to Routing -- Open Source CAD Flow for FPGA Research

Language:C++License:NOASSERTIONStargazers:37Issues:16Issues:81

yosys

SymbiFlow WIP changes for Yosys Open SYnthesis Suite

Language:C++License:ISCStargazers:37Issues:10Issues:29

icestorm

Project IceStorm - Lattice iCE40 FPGAs Bitstream Documentaion (Reverse Engineered)

Language:PythonLicense:ISCStargazers:32Issues:5Issues:0

nextpnr

nextpnr portable FPGA place and route tool

Language:C++License:ISCStargazers:20Issues:9Issues:13
Language:TclStargazers:14Issues:0Issues:0

zynq-ultrascale-readback-capture

This document adopts the method from the XAPP1230 for doing readback capture on Xilinx UltraScale devices and shows how to migrate the same task to Zynq UltraScale+ MPSoC with several noticeable differences.

Language:TclStargazers:13Issues:3Issues:0

f4pga-v2x

Tool for converting specialized annotated Verilog models into XML needed for Verilog to Routing flow.

Language:PythonLicense:Apache-2.0Stargazers:10Issues:13Issues:54

edalize

An abstraction library for interfacing EDA tools

Language:PythonLicense:BSD-2-ClauseStargazers:6Issues:5Issues:1

FPGA-Tool-Performance-Visualization-Library

FTPVL is a library for simplifying the data collection and visualization process for Symbiflow development.

Language:PythonLicense:MITStargazers:6Issues:3Issues:9

EvaluationFramework

Vivado scripts for the measuring the divide between academic and commercial FPGA CAD flows

Language:CStargazers:2Issues:0Issues:0

prjxray-experiments-archive-2017

These are experiments which we conducted in 2017 as part of Project X-Ray.

Language:VerilogLicense:ISCStargazers:2Issues:12Issues:0

prjxray

Documenting the Xilinx 7-series bit-stream format.

Language:PythonLicense:ISCStargazers:1Issues:0Issues:0