201934744

201934744

Geek Repo

Github PK Tool:Github PK Tool

201934744's repositories

Stargazers:0Issues:0Issues:0

arachne-pnr

Place and route tool for FPGAs

Language:C++License:MITStargazers:0Issues:0Issues:0
Language:CStargazers:0Issues:1Issues:0
Stargazers:0Issues:0Issues:0

debit

Reverse-engineering tools for FPGA bitstreams, Altera and Xilinx

Language:CLicense:GPL-3.0Stargazers:0Issues:1Issues:0

edalize

An abstraction library for interfacing EDA tools

Language:PythonLicense:BSD-2-ClauseStargazers:0Issues:0Issues:0
Language:TclStargazers:0Issues:1Issues:0

EvaluationFramework

Vivado scripts for the measuring the divide between academic and commercial FPGA CAD flows

Language:VHDLStargazers:0Issues:1Issues:0

fos

FOS - FPGA Operating System

Language:VHDLLicense:NOASSERTIONStargazers:0Issues:1Issues:0

FPGA-Tool-Performance-Visualization-Library

FTPVL is a library for simplifying the data collection and visualization process for Symbiflow development.

License:MITStargazers:0Issues:1Issues:0

fpgatools

public domain tools for FPGAs

Language:CLicense:UnlicenseStargazers:0Issues:1Issues:0

hal

HAL – The Hardware Analyzer

Language:C++License:MITStargazers:0Issues:1Issues:0
Language:HTMLStargazers:0Issues:0Issues:0

icestorm

Project IceStorm - Lattice iCE40 FPGAs Bitstream Documentaion (Reverse Engineered)

Language:PythonLicense:ISCStargazers:0Issues:1Issues:0

nextpnr

nextpnr portable FPGA place and route tool

Language:C++License:ISCStargazers:0Issues:1Issues:0

OpenFPGA

An Open-source FPGA IP Generator

License:MITStargazers:0Issues:0Issues:0

prjtrellis

Documenting the Lattice ECP5 bit-stream format.

License:NOASSERTIONStargazers:0Issues:0Issues:0

prjuray

Documenting the Xilinx Ultrascale, Ultrascale+ and UltraScale MPSoC series bit-stream format.

License:ISCStargazers:0Issues:0Issues:0

prjxray

Documenting the Xilinx 7-series bit-stream format.

License:ISCStargazers:0Issues:0Issues:0

python-symbiflow-v2x

Tool for converting specialized annotated Verilog models into XML needed for Verilog to Routing flow.

Language:PythonLicense:ISCStargazers:0Issues:1Issues:0

RapidSmith2

RapidSmith2 - the Vivado successor to RapidSmith. Released Jan 4, 2017.

Language:JavaLicense:NOASSERTIONStargazers:0Issues:1Issues:0

SymbiYosys

SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows

Language:PythonLicense:NOASSERTIONStargazers:0Issues:1Issues:0

tincr

A Tcl-based CAD Tool Framework for Xilinx's Vivado Design Suite

Language:TclLicense:GPL-2.0Stargazers:0Issues:1Issues:0

vtr-verilog-to-routing

SymbiFlow WIP changes for Verilog to Routing -- Open Source CAD Flow for FPGA Research

Language:C++License:NOASSERTIONStargazers:0Issues:1Issues:0

yosys

Yosys Open SYnthesis Suite

License:ISCStargazers:0Issues:0Issues:0

yosys-symbiflow-plugins

Plugins for Yosys developed as part of the SymbiFlow project.

Language:VerilogLicense:ISCStargazers:0Issues:1Issues:0

zynq-ultrascale-readback-capture

This document adopts the method from the XAPP1230 for doing readback capture on Xilinx UltraScale devices and shows how to migrate the same task to Zynq UltraScale+ MPSoC with several noticeable differences.

Language:TclStargazers:0Issues:1Issues:0
Language:CStargazers:0Issues:1Issues:0