themperek / cocotb-vivado

Limited python / cocotb interface to Xilinx/AMD Vivado simulator.

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

cocotb-vivado

PyPI version

A limited Python/cocotb interface to the Xilinx Vivado Simulator simulator. Based on cocotb-stub-sim.

The project is at a proof of concept stage

  • Only top-level ports are accessible (simulator limitation).
  • It supports the Timer trigger (simulator limitation).
  • Setting signal values is immediate, as one would use setimmediatevalue (simulator limitation).
  • Only Verilog at the top level is supported (to do).

Installation

pip install cocotb-vivado

Usage

See the tests folder for examples.

source ../Vivado/202X.X/settings64.sh
export LD_LIBRARY_PATH=$XILINX_VIVADO/lib/lnx64.o
pytest -s

Acknowledgment

We'd like to thank our employer, Dectris for supporting this work.

About

Limited python / cocotb interface to Xilinx/AMD Vivado simulator.

License:Apache License 2.0


Languages

Language:Python 72.5%Language:Tcl 19.1%Language:Verilog 8.4%