mrtkp9993 / VHDLExamples

VHDL examples.

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

VHDL Examples

Simple VHDL examples.

How to run

Just run makeor follow the steps:

  1. Analyze the source file(s):

    ghdl –a --ieee=synopsys <design>.vhd
    
  2. Analyze the testbench file(s):

    ghdl –a --ieee=synopsys tb_<design>.vhd
    
  3. Generate executable file:

    ghdl –e --ieee=synopsys tb_<design>
    
  4. Run the simulation:

    ghdl –r --ieee=synopsys tb_<design> --vcd=tb_<design>.vcd
    
  5. View the waveform:

    gtkwave tb_<design>.vcd
    

Source: Link

About

VHDL examples.

License:GNU General Public License v3.0


Languages

Language:VHDL 94.7%Language:Makefile 5.3%