lipaul's starred repositories

litex

Build your hardware, easily!

Language:CLicense:NOASSERTIONStargazers:2850Issues:97Issues:801

ebook

classic books of computer science!

FBGEMM

FB (Facebook) + GEMM (General Matrix-Matrix Multiplication) - https://code.fb.com/ml-applications/fbgemm/

Language:C++License:NOASSERTIONStargazers:1152Issues:54Issues:158

axi

AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication

Language:SystemVerilogLicense:NOASSERTIONStargazers:1033Issues:39Issues:118

clBLAS

a software library containing BLAS functions written in OpenCL

Language:C++License:Apache-2.0Stargazers:837Issues:92Issues:189

pp4fpgas

Parallel Programming for FPGAs -- An open-source high-level synthesis book

Language:TeXLicense:CC-BY-4.0Stargazers:780Issues:56Issues:17

yolov2_xilinx_fpga

A demo for accelerating YOLOv2 in xilinx's fpga pynq/zedboard

zynqnet

Master Thesis "ZynqNet: An FPGA-Accelerated Embedded Convolutional Neural Network"

Language:HTMLLicense:GPL-3.0Stargazers:735Issues:73Issues:71

python_for_image_processing_APEER

https://www.youtube.com/playlist?list=PLHae9ggVvqPgyRQQOtENr6hK0m1UquGaG

Language:Jupyter NotebookStargazers:454Issues:17Issues:7

syclacademy

SYCL Academy, a set of learning materials for SYCL heterogeneous programming

Language:HTMLLicense:CC-BY-SA-4.0Stargazers:436Issues:28Issues:57

FPGA_DisplayPort

An implementation of DisplayPort protocol for FPGAs

Language:VHDLLicense:MITStargazers:278Issues:37Issues:2

colour-demosaicing

CFA (Colour Filter Array) demosaicing algorithms for Python

Language:Jupyter NotebookLicense:BSD-3-ClauseStargazers:274Issues:19Issues:17

data-parallel-CPP

Source code for 'Data Parallel C++: Mastering DPC++ for Programming of Heterogeneous Systems using C++ and SYCL' by James Reinders, Ben Ashbaugh, James Brodman, Michael Kinsner, John Pennycook, Xinmin Tian (Apress, 2020).

Language:CMakeLicense:NOASSERTIONStargazers:241Issues:21Issues:21

fpga-drive-aximm-pcie

Example designs for FPGA Drive FMC

Language:TclLicense:MITStargazers:207Issues:31Issues:21

bin2c

A very simple utility for converting a binary file to a c source file

Language:CLicense:NOASSERTIONStargazers:138Issues:7Issues:5

PTXprofiler

A simple profiler to count Nvidia PTX assembly instructions of OpenCL/SYCL/CUDA kernels for roofline model analysis.

Language:C++License:NOASSERTIONStargazers:38Issues:4Issues:0
Language:C++License:Apache-2.0Stargazers:27Issues:2Issues:1

axi_vip_master

Sample UVM code for axi ram dut

Language:SystemVerilogStargazers:26Issues:0Issues:0

HLS-Tiny-Tutorials

This is forked from Xilinx HLS-Tiny-Tutorial. I'm learning HLS and adding Verilator testbench to verify the generated RTL

Language:C++License:NOASSERTIONStargazers:21Issues:2Issues:0

zcu104_ubuntu

A project to demonstrate Xilinx MPSOC running Ubuntu

Language:TclLicense:MITStargazers:20Issues:7Issues:11

MCSCNet

official repository of "Revisiting Convolutional Sparse Coding for Image Denoising: From a Multi-scale Perspective"

openai-cookbook

Examples and guides for using the OpenAI API

Language:MDXLicense:MITStargazers:2Issues:0Issues:0

C_O_D_5th

计算机组成与设计 硬件/软件接口 第5版 ; Patterson, Hennessy: Computer Organization and Design:The Hardware/Software Interface,5th Edition.

Stargazers:2Issues:0Issues:0

PP4FPGAs-Lab

Codes for PP4FPGAs labs

Language:ScalaStargazers:2Issues:2Issues:0

PP4FPGA_HLS

high level synthesis

Language:VHDLStargazers:1Issues:0Issues:0

CFU-Playground

Want a faster ML processor? Do it yourself! -- A framework for playing with custom opcodes to accelerate TensorFlow Lite for Microcontrollers (TFLM).

Language:C++License:Apache-2.0Stargazers:1Issues:0Issues:0

Pynq-Accelerator

A easy general acc.

Language:VHDLStargazers:1Issues:1Issues:0

yolov2_xilinx_fpga

A demo for accelerating YOLOv2 in xilinx's fpga pynq/zedboard

Language:CLicense:MITStargazers:1Issues:1Issues:0

RISC-V-On-PYNQ

RISC-V Integration for PYNQ

Language:TclLicense:NOASSERTIONStargazers:1Issues:0Issues:0