jameshanlon / netlist-paths

A library and command-line tool for querying a Verilog netlist.

Home Page:https://jameshanlon.github.io/netlist-paths

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

Build Documentation Status

Netlist paths

Netlist paths is a library and command-line tool for querying a Verilog netlist. It reads an XML representation of a design's netlist, produced by Verilator, and provides facilities for inspecting types, variables and paths. The library is written in C++ and has a Python interface.

Installation and documenation

For more information, please see the documentation.

License

Netlist Paths is licensed under the Apache 2.0 license. See LICENSE for details.

About

A library and command-line tool for querying a Verilog netlist.

https://jameshanlon.github.io/netlist-paths

License:Apache License 2.0


Languages

Language:C++ 79.6%Language:Python 11.9%Language:SystemVerilog 5.7%Language:CMake 2.9%