augustofg / ice40-ghdl

VHDL examples targeting the ICE40-HX8K development board using iceStorm + GHDL

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

VHDL examples targeting the ICE40-HX8K development board using Yosys + GHDL + nextpnr

Requirements

Is recommended to install yosys, ghdl, ghdl-yosys-plugin, nextpnr icestorm from source since most distributions don't include those in their main repositories or include outdated versions that might not work with the provided Makefiles.

About

VHDL examples targeting the ICE40-HX8K development board using iceStorm + GHDL

License:Apache License 2.0


Languages

Language:VHDL 84.4%Language:Makefile 15.4%Language:Python 0.3%