Yosys Headquarters (YosysHQ)

Yosys Headquarters

YosysHQ

Geek Repo

Yosys Open SYnthesis Suite

Home Page:http://yosyshq.net/

Github PK Tool:Github PK Tool

Yosys Headquarters's repositories

yosys

Yosys Open SYnthesis Suite

picorv32

PicoRV32 - A Size-Optimized RISC-V CPU

Language:VerilogLicense:ISCStargazers:2947Issues:164Issues:174

nextpnr

nextpnr portable FPGA place and route tool

Language:C++License:ISCStargazers:1258Issues:70Issues:413

icestorm

Project IceStorm - Lattice iCE40 FPGAs Bitstream Documentation (Reverse Engineered)

Language:PythonLicense:ISCStargazers:969Issues:74Issues:131

oss-cad-suite-build

Multi-platform nightly builds of open source digital design and verification tools

Language:ShellLicense:ISCStargazers:742Issues:26Issues:86

apicula

Project Apicula 🐝: bitstream documentation for Gowin FPGAs

Language:VerilogLicense:MITStargazers:445Issues:34Issues:74

prjtrellis

Documenting the Lattice ECP5 bit-stream format.

Language:PythonLicense:NOASSERTIONStargazers:390Issues:35Issues:70

sby

SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows

Language:PythonLicense:NOASSERTIONStargazers:379Issues:40Issues:117

fpga-toolchain

Multi-platform nightly builds of open source FPGA tools

Language:CLicense:GPL-3.0Stargazers:289Issues:12Issues:55

riscv-formal

RISC-V Formal Verification Framework

Language:VerilogLicense:ISCStargazers:93Issues:14Issues:9

mcy

Mutation Cover with Yosys (MCY)

Language:C++License:ISCStargazers:75Issues:15Issues:5

nerv

Naive Educational RISC V processor

Language:SystemVerilogLicense:NOASSERTIONStargazers:69Issues:12Issues:2

VlogHammer

A Verilog Synthesis Regression Test

Language:ShellStargazers:33Issues:8Issues:0

eqy

Equivalence checking with Yosys

Language:C++License:NOASSERTIONStargazers:29Issues:8Issues:23

abc

ABC: System for Sequential Logic Synthesis and Formal Verification

Language:CLicense:NOASSERTIONStargazers:25Issues:6Issues:0

padring

A padring generator for ASICs

Language:C++License:ISCStargazers:22Issues:9Issues:7

yosys-tests

Collection of test cases for Yosys

setup-oss-cad-suite

Set up your GitHub Actions workflow with a OSS CAD Suite

Language:TypeScriptLicense:ISCStargazers:13Issues:8Issues:5

prjtrellis-db

Project Trellis database

License:CC0-1.0Stargazers:12Issues:7Issues:0

sby-gui

GUI for SymbiYosys

Language:C++License:ISCStargazers:12Issues:7Issues:19

scy

Sequence of Covers with Yosys

Language:SystemVerilogLicense:NOASSERTIONStargazers:5Issues:13Issues:3

Sublime-Yosys

Yosys syntax highlighter for the Sublime Text 3 editor

License:0BSDStargazers:5Issues:6Issues:0
Language:VerilogLicense:ISCStargazers:4Issues:6Issues:0

pyosys-tests

pyosys tests

Language:PythonLicense:ISCStargazers:4Issues:5Issues:1

yosyshq.github.io

www.yosyshq.net

Language:HTMLStargazers:4Issues:7Issues:0

mau

Modular Application Utilities

Language:PythonLicense:ISCStargazers:3Issues:6Issues:0

yosys-web

Yosys Web Page

yosys-manual-build

Yosys manual

Language:DockerfileStargazers:1Issues:8Issues:0
Stargazers:0Issues:8Issues:0

furo-ys

A clean customizable documentation theme for Sphinx

Language:SassLicense:MITStargazers:0Issues:0Issues:0