Joris Pellereau (JorisPellereau)

JorisPellereau

Geek Repo

Company:SCLE SFE

Location:Toulouse

Github PK Tool:Github PK Tool

Joris Pellereau's starred repositories

riscv-gnu-toolchain

GNU toolchain for RISC-V, including GCC

Language:CLicense:NOASSERTIONStargazers:3317Issues:142Issues:1050

verilog-ethernet

Verilog Ethernet components for FPGA implementation

Language:VerilogLicense:MITStargazers:2047Issues:113Issues:156

neorv32

:desktop_computer: A small, customizable and extensible MCU-class 32-bit RISC-V soft-core CPU and microcontroller-like SoC written in platform-independent VHDL.

Language:VHDLLicense:BSD-3-ClauseStargazers:1510Issues:50Issues:184

verilog-axi

Verilog AXI components for FPGA implementation

Language:VerilogLicense:MITStargazers:1382Issues:52Issues:68

openFPGALoader

Universal utility for programming FPGA

Language:C++License:Apache-2.0Stargazers:1123Issues:35Issues:230

verilog-pcie

Verilog PCI express components

Language:VerilogLicense:MITStargazers:1036Issues:50Issues:48

drawio-libs

Libraries for draw.io

verilog-axis

Verilog AXI stream components for FPGA implementation

Language:PythonLicense:MITStargazers:694Issues:47Issues:21

gtg

Getting Things GNOME! trunk

Language:PythonLicense:GPL-3.0Stargazers:550Issues:27Issues:728

tvip-axi

AMBA AXI VIP

Language:SystemVerilogLicense:Apache-2.0Stargazers:340Issues:16Issues:37

xschem

A schematic editor for VLSI/Asic/Analog custom designs, netlist backends for VHDL, Spice and Verilog. The tool is focused on hierarchy and parametric designs, to maximize circuit reuse.

Language:CLicense:NOASSERTIONStargazers:300Issues:16Issues:213

uvm_axi

uvm AXI BFM(bus functional model)

VHDL_Lib

Library of VHDL components that are useful in larger designs.

Language:VHDLLicense:MITStargazers:223Issues:20Issues:4

neoTRNG

🎲 A Tiny and Platform-Independent True Random Number Generator for any FPGA (and ASIC).

Language:VHDLLicense:BSD-3-ClauseStargazers:164Issues:6Issues:1

LCInterlocking

FreeCAD module to create laser cut interlocking parts.

Language:PythonLicense:LGPL-2.1Stargazers:139Issues:12Issues:52

ogame-opensource

This is revived OGame v 0.84 with old design.

Language:PHPLicense:CC0-1.0Stargazers:83Issues:8Issues:133

cli

CLI for WaveDrom

Language:JavaScriptLicense:MITStargazers:61Issues:7Issues:19

Quine-McCluskey

Implementation of Quine McCluskey algorithm in Python 3

Language:PythonLicense:MITStargazers:51Issues:1Issues:3
Language:VerilogLicense:Apache-2.0Stargazers:50Issues:10Issues:17

bobsmods

Factorio mods by Bobingabout

Language:LuaLicense:NOASSERTIONStargazers:47Issues:4Issues:136

Clock-Domain-Crossing-Synchronizers

Developed with the aim of providing engineers and designers with a centralized resource, this repository serves as a valuable reference for understanding and implementing robust clock domain crossing solutions in digital systems.

Language:VerilogLicense:MITStargazers:41Issues:3Issues:0

systemverilog-homework

SystemVerilog language-oriented exercises

Language:SystemVerilogLicense:MITStargazers:40Issues:6Issues:2

verilator_xilinx

Re-coded Xilinx primitives for Verilator use

Language:VerilogLicense:BSD-2-ClauseStargazers:37Issues:4Issues:1

getting-started-with-fpgas

Verilog and VHDL for book

Language:VHDLLicense:MITStargazers:36Issues:6Issues:3

cocotb-vivado

Limited python / cocotb interface to Xilinx/AMD Vivado simulator.

Language:PythonLicense:Apache-2.0Stargazers:25Issues:6Issues:0

MajorityJudgment

Php class for Majority Judgment

Language:PHPLicense:MITStargazers:16Issues:8Issues:8

pysct

Python wrapper for Xilinx's XSCT/XSDB console

Language:PythonLicense:Apache-2.0Stargazers:6Issues:2Issues:1

Socket_Audio_Streaming_usingPython

Audio Streaming on localhost or internet using Python Socket Programming

Language:PythonStargazers:4Issues:0Issues:0

Booth_Multipliers

Parameterized Booth Multiplier in Verilog 2001

Language:VerilogStargazers:1Issues:1Issues:0