yalchinAlv / Ultrasonic

Vivado project implemented on SystemVerilog for Basys 3

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

Ultrasonic

Contributions

  • by Shamil Ibrahimov

Description

This is a Vivado project implemented on SystemVerilog for Basys 3. It measures the distance to the nearest object using a ultrasonic sensor and adjusts the speed of the motor depending on that distance. If the distance is large it increases the speed and vice verse.

Equipments

  • Basys3 Artix-7 FPGA (XC7A35T-1CPG236C)
  • PING))) Ultrasonic Distance Sensor (#28015)
  • Step motor

Datasheets

About

Vivado project implemented on SystemVerilog for Basys 3


Languages

Language:HTML 42.0%Language:JavaScript 24.6%Language:SystemVerilog 13.9%Language:Tcl 9.9%Language:Shell 8.0%Language:Batchfile 0.6%Language:Pascal 0.5%Language:1C Enterprise 0.4%