paul-sanjoy / ALU_VHDL

Arithmetic Logic Unit in VHDL

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

ALU (Arithmetic Logic Unit)

This project simulates 8 bit ALU (Arithmetic Logic Unit) on FPGA board. It has Arithmetic unit and Logic unit. It can operate on 8 bit inputs and 1 bit carry input and generates a 8 bit output and a 1 bit carry output.

Made with

Xilinx ISE 14.7 and programmed in VHDL.

Output

Output image

Contributing

Pull requests are welcome. For major changes, please open an issue first to discuss what you would like to change.

Please make sure to update tests as appropriate.

License

MIT

About

Arithmetic Logic Unit in VHDL


Languages

Language:C 99.6%Language:VHDL 0.2%Language:HTML 0.1%Language:Batchfile 0.0%