Olof Kindgren's repositories

serv

SERV - The SErial RISC-V CPU

Language:VerilogLicense:ISCStargazers:1335Issues:37Issues:56

fusesoc

Package manager and build abstraction tool for FPGA/ASIC development

Language:PythonLicense:BSD-2-ClauseStargazers:1144Issues:65Issues:386

edalize

An abstraction library for interfacing EDA tools

Language:PythonLicense:BSD-2-ClauseStargazers:607Issues:34Issues:157

corescore

CoreScore

Language:VerilogLicense:Apache-2.0Stargazers:135Issues:10Issues:16

ipyxact

Python-based IP-XACT parser

Language:PythonLicense:MITStargazers:120Issues:16Issues:24

subservient

Small SERV-based SoC primarily for OpenMPW tapeout

Language:VerilogLicense:Apache-2.0Stargazers:34Issues:6Issues:4

wb_intercon

Wishbone interconnect utilities

Language:VerilogLicense:ISCStargazers:32Issues:7Issues:3

fusesocotb

Quick'n'dirty FuseSoC+cocotb example

Language:VerilogLicense:Apache-2.0Stargazers:9Issues:1Issues:0
Language:VerilogLicense:ISCStargazers:8Issues:3Issues:3

uart16550

UART 16550 core

Language:VerilogStargazers:6Issues:0Issues:0

spi_ram_loader

SPI RAM loader

Language:VerilogStargazers:4Issues:0Issues:0

opentitan

OpenTitan: Open source silicon root of trust

Language:SystemVerilogLicense:Apache-2.0Stargazers:3Issues:2Issues:0

riscv-formal

RISC-V Formal Verification Framework

Language:VerilogLicense:ISCStargazers:2Issues:1Issues:0

zipcpu

A small, light weight, RISC CPU soft core

Language:VerilogStargazers:2Issues:1Issues:0

Booth_Multipliers

Parameterized Booth Multiplier in Verilog 2001

Language:VerilogStargazers:1Issues:1Issues:0

openlane

OpenLANE is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen, Fault and custom methodology scripts for design exploration and optimization.

Language:VerilogStargazers:1Issues:2Issues:0

riscv-opcodes

RISC-V Opcodes

Language:PythonLicense:BSD-3-ClauseStargazers:1Issues:1Issues:0

basejump_stl

BaseJump STL: A Standard Template Library for SystemVerilog

Language:VerilogLicense:NOASSERTIONStargazers:0Issues:1Issues:0

cocotb

cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

Language:PythonLicense:BSD-3-ClauseStargazers:0Issues:0Issues:0

hossein1387.github.io

Personal Website

Stargazers:0Issues:0Issues:0

keball

Regardless if you are 13 years old or retired, you might want to run keball

Language:C++Stargazers:0Issues:2Issues:0
Language:HTMLStargazers:0Issues:3Issues:0

prince

The Prince lightweight block cipher in Verilog.

Language:VerilogLicense:BSD-2-ClauseStargazers:0Issues:1Issues:0

qspiflash

A set of Wishbone Controlled SPI Flash Controllers

Language:VerilogStargazers:0Issues:1Issues:0

salsa20

Sals20 Stream Cipher core in Verilog

Language:VerilogLicense:BSD-2-ClauseStargazers:0Issues:0Issues:0

subservient_gfmpw1

https://caravel-user-project.readthedocs.io

License:Apache-2.0Stargazers:0Issues:0Issues:0
Language:VerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0
License:Apache-2.0Stargazers:0Issues:0Issues:0

usbcorev

A full-speed device-side USB peripheral core written in Verilog.

Language:VerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0