nikacvet / vscode-verilogA

Language support in Visual Studio Code for VerilogA

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

VerilogA Language Support for VSCode

This is a Visual Studio Code extension, which provides support for the VerilogA language.

This extension is still in development. I should probably preface that I don't program in VerilogA nor Typescript, so I will gladly accept any suggestions on how to do a certain thing better or more efficiently. Thanks :)

Special thanks to eirikpre's SystemVerilog Extension, as it was of greatest help.

Features

Syntax Highlighting

Syntax Highlighting Example

Hover

Hover Example

Go To Definition / Reference

Go To Example

Issues

NOTE: As someone who doesn't program in VerilogA at all, I have tried my best to grasp the syntax and rules. Still, I most likely missed some things, so if you see something that is not aligned with standards or doesn't work the way it should, please let me know!

I also appreaciate contribution. If you want to contribute please fork the repository, clone it and make changes, then create a PR.

Release Notes

See the changelog for more details

Future

  • Better hover information.
  • Fix (all) bugs (is that even possible?)
  • Compile with OpenVAF
  • Rewrite some providers more efficiently

About

Language support in Visual Studio Code for VerilogA

License:MIT License


Languages

Language:TypeScript 57.1%Language:ANTLR 42.0%Language:JavaScript 0.8%Language:Python 0.1%