Miodrag Milanović (mmicko)

mmicko

Geek Repo

Location:Novi Sad, Serbia

Twitter:@micko_mame

Github PK Tool:Github PK Tool


Organizations
mamedev
YosysHQ

Miodrag Milanović's repositories

prjtang

Documenting the Anlogic FPGA bit-stream format.

Language:C++License:ISCStargazers:84Issues:13Issues:4

galtape

Galaksija tape tool

Language:C++License:MITStargazers:4Issues:0Issues:0

abc

ABC: System for Sequential Logic Synthesis and Formal Verification

Language:CLicense:NOASSERTIONStargazers:2Issues:2Issues:0

cli

FPGA Command Line Interface

Language:PythonLicense:ISCStargazers:2Issues:3Issues:0

macos-resources

MacOS resources needed for cross compile

Language:Objective-C++Stargazers:2Issues:2Issues:0

mame

MAME

Language:C++License:NOASSERTIONStargazers:2Issues:2Issues:0

edalize

An abstraction library for interfacing EDA tools

Language:PythonLicense:BSD-2-ClauseStargazers:1Issues:0Issues:0

fusesoc

Package manager and build abstraction tool for FPGA/ASIC development

Language:PythonLicense:BSD-2-ClauseStargazers:1Issues:0Issues:0

iverilog

Icarus Verilog

Language:C++License:GPL-2.0Stargazers:1Issues:1Issues:0

litex-boards

LiteX boards files

Language:PythonLicense:BSD-2-ClauseStargazers:1Issues:1Issues:0

mistral

Cyclone V bitstream reverse-engineering project

Language:HTMLLicense:BSD-3-ClauseStargazers:1Issues:1Issues:0
Language:PythonStargazers:1Issues:0Issues:0

opentitan

OpenTitan: Open source silicon root of trust

Language:SystemVerilogLicense:Apache-2.0Stargazers:1Issues:0Issues:0

SymbiYosys

SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows

Language:PythonLicense:NOASSERTIONStargazers:1Issues:1Issues:0

verilator

Verilator open-source SystemVerilog simulator and lint system

Language:C++License:LGPL-3.0Stargazers:1Issues:1Issues:0

apicula

Project Apicula 🐝: bitstream documentation for Gowin FPGAs

Language:VerilogLicense:MITStargazers:0Issues:0Issues:0

eqy

Equivalence checking with Yosys

Language:C++License:NOASSERTIONStargazers:0Issues:0Issues:0

litex

Build your hardware, easily!

Language:CLicense:NOASSERTIONStargazers:0Issues:1Issues:0

macdylibbundler

Utility to ease bundling libraries into executables for OSX

Language:C++License:MITStargazers:0Issues:0Issues:0

mau

Modular Application Utilities

Language:PythonLicense:ISCStargazers:0Issues:0Issues:0

nextpnr-xilinx

Experimental flows using nextpnr for Xilinx devices

Language:C++License:ISCStargazers:0Issues:0Issues:0

picosat

Unofficial picosat github mirror

Language:CLicense:MITStargazers:0Issues:1Issues:0

prjoxide

Documenting Lattice's 28nm FPGA parts

Language:PythonLicense:ISCStargazers:0Issues:1Issues:0

prjoxide-db

prjoxide database

License:CC0-1.0Stargazers:0Issues:0Issues:0

scy

Sequence of Covers with Yosys

Language:SystemVerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0
Stargazers:0Issues:2Issues:0

VexRiscv

A FPGA friendly 32 bit RISC-V CPU implementation

Language:AssemblyLicense:MITStargazers:0Issues:0Issues:0

yosys

Yosys Open SYnthesis Suite

Language:C++License:ISCStargazers:0Issues:1Issues:0

yosys-cmd-ref

Staging repo for Yosys command reference build. The contents of this repository are autogenerated from Yosys source.

Language:TeXStargazers:0Issues:0Issues:0

yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.

Language:VerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0