jhenals / VHDL-Code---Carry-Select-32bit

Progetto di Elettronica Digitale AA 2022-2023

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

VHDL Project - Carry Select 32bit

Progetto di Elettronica Digitale AA 2022-2023


Traccia del progetto

Si richiede di progettare un sommatore CARRY-SELECT a 32 bit, assumento che gli ingressi siano UNSIGNED.

Elementi costitutivi del circuito:

  1. Full Adder
  2. Ripple Carry
  3. Multiplexer 2:1
  4. Carry Select a 8 bit
  5. Carry Select a 32 bit
  6. CSA 32bit TestBench

Schema a blocchi

image