jchengX / AXI_DRIVER

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

AXI_DRIVER

  1. Write an axi master driver using uvm. Behave the 5 channels basically and support the protocol as much as possible.
  2. Write an axi slave driver using uvm.

About


Languages

Language:SystemVerilog 100.0%