hk-117 / VHDL

Some example of vhdl code, using ghdl and gtkwave.

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

VHDL

Some example of vhdl code, using ghdl and gtkwave.

About

Some example of vhdl code, using ghdl and gtkwave.

License:GNU General Public License v3.0


Languages

Language:VHDL 89.9%Language:Makefile 10.1%