hangryusprime / Zybo_xc7z010_LED_Blinker

Zybo Zynq-7000 (xc7z010) Demo code for LED Blinker

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

Zybo_xc7z010_LED_Blinker

About

Zybo Zynq-7000 (xc7z010) Demo code for LED Blinker


Languages

Language:Verilog 100.0%