huafei's starred repositories

v2ray-core

A platform for building proxies to bypass network restrictions.

opentitan

OpenTitan: Open source silicon root of trust

Language:SystemVerilogLicense:Apache-2.0Stargazers:2540Issues:106Issues:6755

cocotb

cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

Language:PythonLicense:BSD-3-ClauseStargazers:1764Issues:108Issues:1885

corundum

Open source FPGA-based NIC and platform for in-network compute

Language:VerilogLicense:NOASSERTIONStargazers:1662Issues:88Issues:165

basic_verilog

Must-have verilog systemverilog modules

aws-fpga

Official repository of the AWS EC2 FPGA Hardware and Software Development Kit

Language:VHDLLicense:NOASSERTIONStargazers:1505Issues:230Issues:170

oh

Verilog library for ASIC and FPGA designers

Language:VerilogLicense:MITStargazers:1167Issues:99Issues:35

verilog-pcie

Verilog PCI express components

Language:VerilogLicense:MITStargazers:1094Issues:50Issues:51

riffa

The RIFFA development repository

Language:VerilogLicense:NOASSERTIONStargazers:766Issues:71Issues:48

potato

A simple RISC-V processor for use in FPGA designs.

Language:VHDLLicense:BSD-3-ClauseStargazers:263Issues:24Issues:25

litex-buildenv

An environment for building LiteX based FPGA designs. Makes it easy to get everything you need!

Language:PythonLicense:BSD-2-ClauseStargazers:214Issues:24Issues:144

cocotbext-axi

AXI interface modules for Cocotb

Language:PythonLicense:MITStargazers:209Issues:13Issues:68

micropython

MicroPython port package for RT-Thread

Language:CLicense:MITStargazers:203Issues:15Issues:5

hwt

VHDL/Verilog/SystemC code generator, simulator API written in python/c++

Language:PythonLicense:MITStargazers:202Issues:18Issues:42

app-release

An IoT Solution,this is the android release app | download ios app in app store

Language:VerilogStargazers:123Issues:7Issues:0

open-nic-shell

AMD OpenNIC Shell includes the HDL source files

Language:SystemVerilogLicense:Apache-2.0Stargazers:100Issues:9Issues:27

xvc-esp32

Wireless JTAG 'cable' for Xilinx FPGAs. This is an 'English fork' of https://github.com/ciniml/xvc-esp32 project.

Language:C++Stargazers:74Issues:5Issues:0

Open_RegModel

:hatched_chick:Use ORDT and systemRDL tools to generate C/Verilog header files, register RTL, UVM register models, and docs from compiled SystemRDL.

Language:VerilogLicense:NOASSERTIONStargazers:66Issues:6Issues:1

ethernet-fmc-axi-eth

Example design for the Ethernet FMC using 4 AXI Ethernet Subsystem IP blocks

Language:TclLicense:MITStargazers:63Issues:7Issues:2
Language:PythonLicense:LGPL-3.0Stargazers:62Issues:3Issues:0

spi-to-axi-bridge

An SPI to AXI4-lite bridge for easy interfacing of airhdl register banks with any microcontroller.

Language:VHDLLicense:Apache-2.0Stargazers:41Issues:3Issues:2
Language:VerilogLicense:GPL-3.0Stargazers:41Issues:5Issues:2

python-pci

Python interface to PCIE

Language:C++License:GPL-3.0Stargazers:37Issues:7Issues:1

vivado-ip-cores

IP Cores that can be used within Vivado

Language:VerilogLicense:MITStargazers:24Issues:2Issues:0

xdma_dsc_byp_cltr

VHDL Bypass descriptor controller for Xilinx DMA IP for PCIe

Language:VHDLStargazers:13Issues:0Issues:0

can_axi4lite

CAN-bus Controller with AXI4-lite Interface

Language:CLicense:GPL-3.0Stargazers:12Issues:0Issues:0

cocotb

Coroutine Co-simulation Test Bench

Language:PythonLicense:NOASSERTIONStargazers:2Issues:4Issues:0
Language:SystemVerilogLicense:NOASSERTIONStargazers:1Issues:0Issues:0