Ahmed Abdelazeem (abdelazeem201)

abdelazeem201

Geek Repo

Company:Synopsys

Location:Dublin, Ireland.

Home Page:https://abdelazeem201.github.io/

Twitter:@abdelazeem201

Github PK Tool:Github PK Tool

Ahmed Abdelazeem's repositories

ASIC-Implementation-UART

This paper presents design of UART module for serial communication used for short-distance, low speed and exchange of data between computer and peripherals. UART mainly contains Transmitter, Receiver and Baud Rate Generator. Baud Rate Generator generates the clock for the UART. We can achieve the desired Baud Rate by using divide factor from system clock. If we increase the baud rate, speed of serial data transmission increases. As the dividing factor decrease baud rate increases. in this paper we set the system clock frequency as 50MHz and time to transfer each data bit is 23.75ns with baud rate of 42.1 Mbps (dividing factor is 32). Due to increase in the baud rate the time taken to transfer the data decreases, so it is very useful for faster communication devices. Transmitter and Receiver blocks designed by algorithm state machine method simulated in ModelSim, synthesized in Design Compiler, and extracted in ICC in Nangate 45 nm CMOS cell library.

Language:VerilogLicense:MITStargazers:9Issues:1Issues:8
License:MITStargazers:7Issues:0Issues:0

5-Stage-Pipeline-RISC-V-RV32I

The goal of this Project is to design a RISC-V processor with 5 pipeline stages. The version of the RISC-V processor supports only a limited subset of the whole RV32I instruction set, but in the design here reported all the standard instructions except ECALL, EBREAK, and FENCE are implemented.

Language:VHDLLicense:MITStargazers:5Issues:0Issues:0

Cordic-Algorithm-ASIC-chip

Verilog implementation of a Cordic Algorithm ASIC chip based on SMIC 180nm standard digital technology. Fulfill the conversion from Rectangular to Polar Coordinates for arbitrary coordinate on RTL level.

Language:VerilogLicense:MITStargazers:4Issues:1Issues:0

Difference-between-blocking-and-non-blocking-statements-in-Verilog

In case of Verilog, blocking and non-blocking statements are two methods to make use of combinational and sequential logic to implement the design.

License:MITStargazers:4Issues:0Issues:0

Computer-architecture-and-organization

Computer architecture and organization

Language:AssemblyLicense:MITStargazers:3Issues:0Issues:0

Microcontrollers-based-on-the-Arm-Cortex-M0

This example design is based on the Arm Cortex-M System Design Kit (CMSDK) reference design.

Language:VerilogLicense:MITStargazers:3Issues:0Issues:0

Static-Time-Analysis

Static Time Analysis

Language:VerilogLicense:MITStargazers:3Issues:1Issues:0

LIFO-and-FIFO-Data-Structures

In audio, we often use std::vector and arrays for holding data. It is a flexible data structure that allows random reads and writes. However, we may want to restrict the processing order. In this case, we may use other STL container classes or we may create data structures such as stacks and queues. Two common data structures in computer science are LIFO (last-in-first-out) and FIFO (first-in-first-out).

Language:CLicense:MITStargazers:2Issues:0Issues:0

SRAM-

SRAM is memory cells which are used to store or retrieve the data. Further, FPGA chips have separate SRAM modules which can be used to design memories of different sizes and types, as shown in this repository..

Language:VHDLLicense:MITStargazers:2Issues:0Issues:0

ara

The PULP Ara is a 64-bit Vector Unit, compatible with the RISC-V Vector Extension Version 1.0, working as a coprocessor to CORE-V's CVA6 core

Language:CLicense:NOASSERTIONStargazers:1Issues:0Issues:0

awesome-open-hardware-verification

A List of Free and Open Source Hardware Verification Tools and Frameworks

License:MITStargazers:1Issues:0Issues:0

CFU-Playground

Want a faster ML processor? Do it yourself! -- A framework for playing with custom opcodes to accelerate TensorFlow Lite for Microcontrollers (TFLM). . . . . . Online tutorial: https://google.github.io/CFU-Playground/ For reference docs, see the link below.

Language:VerilogLicense:Apache-2.0Stargazers:1Issues:0Issues:0

CNN-Accelerator-VLSI

Convolutional accelerator kernel, target ASIC & FPGA

Language:VerilogLicense:Apache-2.0Stargazers:1Issues:0Issues:0

cv32e40p

CV32E40P is an in-order 4-stage RISC-V RV32IMFCXpulp CPU based on RI5CY from PULP-Platform

Language:SystemVerilogLicense:NOASSERTIONStargazers:1Issues:0Issues:0

e203_hbirdv2

The Ultra-Low Power RISC-V Core

License:Apache-2.0Stargazers:1Issues:0Issues:0

gf180mcu-pdk

PDK for GlobalFoundries' 180nm MCU bulk process technology (GF180MCU).

License:Apache-2.0Stargazers:1Issues:0Issues:0

globalfoundries-pdk-ip-gf180mcu_fd_ip_sram

SRAM macros created for the GF180MCU provided by GlobalFoundries.

License:Apache-2.0Stargazers:1Issues:0Issues:0

globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0

7 track standard cells for GF180MCU provided by GlobalFoundries.

Language:VerilogLicense:Apache-2.0Stargazers:1Issues:0Issues:0

globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0

9 track standard cells for GF180MCU provided by GlobalFoundries.

Language:VerilogLicense:Apache-2.0Stargazers:1Issues:0Issues:0

learn-regex

Learn regex the easy way

License:MITStargazers:1Issues:0Issues:0

Open-CryptoNight-ASIC

Open source hardware implementation of classic CryptoNight

License:Apache-2.0Stargazers:1Issues:0Issues:0

OpenLane

OpenLane is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen and custom methodology scripts for design exploration and optimization.

Language:VerilogLicense:Apache-2.0Stargazers:1Issues:0Issues:0

openofdm

Sythesizable, modular Verilog implementation of 802.11 OFDM decoder.

License:Apache-2.0Stargazers:1Issues:0Issues:0

riscv-dbg

RISC-V Debug Support for our PULP RISC-V Cores

License:NOASSERTIONStargazers:1Issues:0Issues:0

skywater-pdk

Open source process design kit for usage with SkyWater Technology Foundry's 130nm node.

License:Apache-2.0Stargazers:1Issues:0Issues:0

TIGFET-10nm-PDK

An open source PDK using TIGFET 10nm devices.

License:MITStargazers:1Issues:0Issues:0

tt03-xor-cipher

Verilog demo for Tiny Tapeout 03

License:Apache-2.0Stargazers:1Issues:0Issues:0

riscv-formal

RISC-V Formal Verification Framework

License:ISCStargazers:0Issues:0Issues:0

UVMReference

Reference examples and short projects using UVM Methodology

Stargazers:0Issues:0Issues:0