AleksandarKostovic / Riscy-SoC

Riscy-SoC is SoC based on RISC-V CPU core, designed in Verilog

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

AleksandarKostovic/Riscy-SoC Stargazers