Aleksandar Kostovic's repositories

Riscy-SoC

Riscy-SoC is SoC based on RISC-V CPU core, designed in Verilog

Language:VerilogLicense:MITStargazers:78Issues:15Issues:4

SystemC-tutorial

Brief SystemC getting started tutorial

Language:C++License:MITStargazers:73Issues:4Issues:0

Matrix-MAC-Unit

Matrix Multiply and Accumulate unit written in System Verilog

Language:SystemVerilogLicense:MITStargazers:8Issues:2Issues:0

LightningQ

LigthningQ is a blueprint for building Optical Quantum Computers

Language:PythonLicense:MITStargazers:6Issues:2Issues:0

bash-game

Game in shell script with few questions, utilizing user input

Language:ShellLicense:MITStargazers:0Issues:2Issues:0