Tingyuan LIANG (zslwyuan)

zslwyuan

Geek Repo

Company:HKUST

Location:Hong Kong

Github PK Tool:Github PK Tool

Tingyuan LIANG's starred repositories

Python-for-ophthalmologist

This is a python tutorial for ophthalmologist

Language:HTMLStargazers:10Issues:0Issues:0

i-octree

[ICRA2024] Implementation of A Fast, Lightweight, and Dynamic Octree for Proximity Search

Language:C++License:GPL-2.0Stargazers:258Issues:0Issues:0

AMF-Placer

AMF-Placer 2.0: An open-source timing-driven analytical mixed-size FPGA placer of heterogeneous resources (LUT/FF/LUTRAM/MUX/CARRY/DSP/BRAM...)

Language:C++License:Apache-2.0Stargazers:95Issues:0Issues:0

Kratos

Kratos Multiphysics (A.K.A Kratos) is a framework for building parallel multi-disciplinary simulation software. Modularity, extensibility and HPC are the main objectives. Kratos has BSD license and is written in C++ with extensive Python interface.

Language:C++License:NOASSERTIONStargazers:1001Issues:0Issues:0

mayo

3D CAD viewer and converter based on Qt + OpenCascade

Language:C++License:BSD-2-ClauseStargazers:1337Issues:0Issues:0

meshview

Simple OpenGL mesh/point cloud viewer

Language:C++Stargazers:114Issues:0Issues:0

sketchpad

A simple rendering library to experiment with CG techniques in OpenGL.

Language:C++License:MITStargazers:50Issues:0Issues:0

Digital-IDE

在vscode上的数字设计开发插件

Language:VerilogLicense:GPL-3.0Stargazers:314Issues:0Issues:0

f4pga

FOSS Flow For FPGA

Language:PythonLicense:Apache-2.0Stargazers:347Issues:0Issues:0
Language:VerilogStargazers:34Issues:0Issues:0

OpenTimer

A High-performance Timing Analysis Tool for VLSI Systems

Language:VerilogLicense:NOASSERTIONStargazers:545Issues:0Issues:0

isa-l

Intelligent Storage Acceleration Library

Language:CLicense:NOASSERTIONStargazers:940Issues:0Issues:0

p8g

👾 Precessing, also known as p8g, is a general-purpose 2D graphics library.

Language:CStargazers:80Issues:0Issues:0

libxd

A simple 2D graphics library for C++.

Language:C++License:LGPL-2.1Stargazers:67Issues:0Issues:0
Language:PythonLicense:BSD-2-ClauseStargazers:8Issues:0Issues:0

constellation

A Chisel RTL generator for network-on-chip interconnects

Language:ScalaLicense:BSD-3-ClauseStargazers:159Issues:0Issues:0
Language:PythonStargazers:30Issues:0Issues:0

ThermographyDemo

Thermography Android蓝牙串口 热成像 热力图

Language:JavaStargazers:31Issues:0Issues:0

sky130-hello-world

Minimal SKY130 example with self-checking LVS, DRC, and PEX

Language:ShellLicense:Apache-2.0Stargazers:24Issues:0Issues:0

SPRoute

A parallel global router using the Galois framework

Language:C++License:NOASSERTIONStargazers:25Issues:0Issues:0

taskflow

A General-purpose Task-parallel Programming System using Modern C++

Language:C++License:NOASSERTIONStargazers:9963Issues:0Issues:0

docker-wechat

DoChat is a Dockerized WeChat (盒装微信) PC Windows Client for Linux

Language:ShellLicense:Apache-2.0Stargazers:2230Issues:0Issues:0

neural-ilt

Neural-ILT, An End-to-end Learning-based Mask Optimizer

Language:PythonLicense:NOASSERTIONStargazers:58Issues:0Issues:0

Clock-Network-Designer

一种可自动综合且可多扇出配置的均衡型时钟树的设计优化方法

Language:C++Stargazers:7Issues:0Issues:0

playground

chipyard in mill :P

Language:ScalaStargazers:72Issues:0Issues:0

USTC-RVSoC

An FPGA-based RISC-V CPU+SoC with a simple and extensible peripheral bus. 基于FPGA的RISC-V CPU+SoC,包含一个简单且可扩展的外设总线。

Language:SystemVerilogLicense:GPL-3.0Stargazers:344Issues:0Issues:0

skywater-pdk

Open source process design kit for usage with SkyWater Technology Foundry's 130nm node.

Language:PythonLicense:Apache-2.0Stargazers:2932Issues:0Issues:0

vsdstdcelldesign

This repository contains all the information needed to run RTL2GDSII flow using openlane flow. Apart from that, it also contain procedures on how to create a custom LEF file and plugging it into an openlane flow.

Language:VerilogLicense:Apache-2.0Stargazers:61Issues:0Issues:0

polymer

Bridging polyhedral analysis tools to the MLIR framework

Language:C++License:MITStargazers:98Issues:0Issues:0

cloc-badge-action

cloc-badge-action

Language:ShellStargazers:3Issues:0Issues:0