xevozen / 3bit-PWM-Generator-using-eSim

3bit digitally controlled PWM Generator using eSim, using ngveri(Makerchip) and ngspice

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

xevozen/3bit-PWM-Generator-using-eSim Stargazers