wataru030-XIAOHEI / All-of-SystemVerilog

みんなのSystemVerilog

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

みんなの SystemVerilog

今日(2020年10月17日)20時過ぎにちょこっと思い付いたのでgithubにアカウントを作り、このrepoを立ち上げました。

このrepoの目的は、SystemVerilogのコードをガンガン溜め込むことです。 非常に簡単な論理回路(and, or, nand, nor, not, Flipflopなど)やメモリから状態遷移マシン、Bus Functional ModelなどSystemVerilogで書かれていれば何でもOKです。設計用の記述だけでなく、検証用の記述でもOKです。

SystemVerilog の書籍

現在発行されているSystemVerilogに関する書籍

SystemVerilog に関するサイト

いろいろなモデル

  • Modelsにいろいろなモデルへのリンクがあります

SystemVerilogが利用できるシミュレータ

オープンソースソフトウェア

  • iverilog
    • iverilogは、Verilog HDLのシミュレータであるが、オプションを付けると SystemVerilog の一部の機能が使えるようです
    • -g2012 というオプションを付けると、SystemVerilog 2012 をサポートするらしい
  • verilator
    • verilator は、initial文をサポートしていません。その代わりに、C/C++/SystemCを使ってテストベンチ側を作ることができます

FPGA開発環境で利用できるシミュレータ

  • Xilinx Simulator
    • SystemVerilogの多くの機能をサポートしている(UVMもサポートしている)
    • DPI (Direct Programming Interface)は、export task をサポートしていないので C 側から SystemVerilog 側の task が呼べないのが辛いです
  • Intel FPGA用ModelSim
    • Mentor Graphics の ModelSim なので、Verilog HDL/VHDL/SystemVerilog をサポートしています
    • 無償バージョンではHDLの行数が制限や実行速度がかなり遅くなっています
    • Linux版は64ビットバージョンではなく、32ビットバージョンなのでLinux 側に32ビットのパッケージをインストールする必要があります

お高いシミュレータ

  • Synopsys VCS
  • Cadence ISU/Xcelium
  • Mentor Graphics Questa
  • Aldec Riviera-PRO

また、EDA Playgroudに登録すると、いろいろなSimulatorが利用できます。

About

みんなのSystemVerilog

License:Apache License 2.0


Languages

Language:C++ 54.1%Language:Makefile 27.5%Language:Verilog 11.9%Language:C 3.7%Language:Shell 2.0%Language:Stata 0.6%Language:SystemVerilog 0.2%