Vijay Subas (vjsubas)

vjsubas

Geek Repo

Location:Australia

Github PK Tool:Github PK Tool

Vijay Subas's starred repositories

depthai-experiments

Experimental projects we've done with DepthAI.

Language:Jupyter NotebookLicense:MITStargazers:800Issues:0Issues:0

STEVAL-MKBOXPRO-Examples

Examples for STEVAL-MKBOXPRO board

License:BSD-3-ClauseStargazers:1Issues:0Issues:0

minixfromscratch

Development and compilation setup for the book versions of MINIX (2.0.0 and 3.1.0) on QEMU

Language:CLicense:NOASSERTIONStargazers:1208Issues:0Issues:0

project15

Project 15 from Microsoft | Open Platform Repository

License:MITStargazers:51Issues:0Issues:0

DxCore

Arduino core for AVR DA, DB, DD, EA and future DU-series parts - Microchip's latest and greatest AVRs. Library maintainers: Porting help and adviccee is available.

Language:CLicense:NOASSERTIONStargazers:177Issues:0Issues:0
Language:HTMLStargazers:126Issues:0Issues:0

atmega328p-discrete-pid-controller-studio

This repository describes a simple implementation of a discrete Proportional- Integral-Derivative (PID) controller for tinyAVR and megaAVR devices from Microchip.

Language:CStargazers:3Issues:0Issues:0

avr64dd32-quadrature-decoder-mplab-mcc

Guide for using Core Independent Quadrature Decoder with AVR64DD32 Microcontroller using MCC Melody

Language:CLicense:NOASSERTIONStargazers:2Issues:0Issues:0
Language:CStargazers:153Issues:0Issues:0
Language:Jupyter NotebookLicense:BSD-3-ClauseStargazers:385Issues:0Issues:0

SparkFun_MicroMod_Single_Pair_Ethernet_Function_Board_ADIN1110

MicroMod Function Board for the Analog Devices ADIN1110 10BASE-T1L Ethernet MAC-PHY Transceiver

Stargazers:8Issues:0Issues:0

OLINUXINO

OLINUXINO is Open Source / Open Hardware, low cost from EUR 24 Linux Industrial grade Single Board Computer capable to operate -25+85C

Language:CStargazers:1332Issues:0Issues:0

hardware

Design and documentation of hardware

Language:SCSSStargazers:17Issues:0Issues:0

esptool

Espressif SoC serial bootloader utility

Language:PythonLicense:GPL-2.0Stargazers:5427Issues:0Issues:0

rt-thread

RT-Thread is an open source IoT real-time operating system (RTOS).

Language:CLicense:Apache-2.0Stargazers:10092Issues:0Issues:0

ch32v307

Including the SDK、HDK、Datasheet of RISC-V MCU CH32V307 and other relevant development materials

Language:CStargazers:374Issues:0Issues:0

mindstorms-51515

Accessing "blocks" functionality of the Mindstorms Robot Inventor hub from python code.

Language:PythonLicense:MITStargazers:9Issues:0Issues:0

beginners-series-rust

Beginner's Series to Rust

Language:RustLicense:MITStargazers:443Issues:0Issues:0

ardupilotblue

Howto use BeagleBone Blue with ArduPilot

License:GPL-3.0Stargazers:152Issues:0Issues:0

movingAvg

A simple Arduino library for calculating moving averages.

Language:C++License:GPL-3.0Stargazers:88Issues:0Issues:0

EncoderButton

Lossless event based rotary encoder+button library for Arduino and Teensy

Language:C++License:GPL-2.0Stargazers:34Issues:0Issues:0

esp-who

Face detection and recognition framework

Language:CLicense:NOASSERTIONStargazers:1648Issues:0Issues:0

u8g2

U8glib library for monochrome displays, version 2

Language:CLicense:NOASSERTIONStargazers:4941Issues:0Issues:0

u8glib

Arduino Monochrom Graphics Library for LCDs and OLEDs

Language:CLicense:NOASSERTIONStargazers:1242Issues:0Issues:0

acceleration_firmware_kv260

KV260 firmware. Package for enabling hardware acceleration capabilities in ROS 2 with KV260.

Language:CMakeLicense:Apache-2.0Stargazers:10Issues:0Issues:0

KRS

Kria Robotics Stack (KRS) documentation. KRS is a ROS 2 superset for industry built around the Kria SOM portfolio. Simplifies the use of hardware acceleration in robotics.

Language:CSSLicense:NOASSERTIONStargazers:9Issues:0Issues:0

turtlebot3

ROS packages for Turtlebot3

Language:PythonLicense:Apache-2.0Stargazers:1450Issues:0Issues:0

dsp_notebooks

A collection of Digital Signal Processing notebooks with a wireless communications theme.

Language:Jupyter NotebookStargazers:96Issues:0Issues:0

rfsoc_sam

RFSoC Spectrum Analyser Module on PYNQ.

Language:VHDLLicense:BSD-3-ClauseStargazers:69Issues:0Issues:0

PYNQ-HelloWorld

This repository contains a "Hello World" introduction application to the Xilinx PYNQ framework.

Language:Jupyter NotebookLicense:BSD-3-ClauseStargazers:90Issues:0Issues:0