ueeeehy's starred repositories

SQL2FPGA

[FCCM'23] SQL2FPGA: Automatic Acceleration of SQL Query Processing on Modern CPU-FPGA Platforms

Language:ScalaLicense:BSD-2-ClauseStargazers:8Issues:0Issues:0

spdk

Storage Performance Development Kit

Language:CLicense:NOASSERTIONStargazers:2984Issues:0Issues:0

llvm-project

The LLVM Project is a collection of modular and reusable compiler and toolchain technologies.

Language:LLVMLicense:NOASSERTIONStargazers:27479Issues:0Issues:0

LeFlow

Enabling Flexible FPGA High-Level Synthesis of Tensorflow Deep Neural Networks

Language:VerilogLicense:NOASSERTIONStargazers:573Issues:0Issues:0

pp4fpgas

Parallel Programming for FPGAs -- An open-source high-level synthesis book

Language:TeXLicense:CC-BY-4.0Stargazers:776Issues:0Issues:0

gtkwave

GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which reads LXT, LXT2, VZT, FST, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing.

Language:CLicense:GPL-2.0Stargazers:594Issues:0Issues:0

Pyverilog

Python-based Hardware Design Processing Toolkit for Verilog HDL

Language:PythonLicense:Apache-2.0Stargazers:607Issues:0Issues:0

vtr-verilog-to-routing

Verilog to Routing -- Open Source CAD Flow for FPGA Research

Language:C++License:NOASSERTIONStargazers:996Issues:0Issues:0

oh

Verilog library for ASIC and FPGA designers

Language:VerilogLicense:MITStargazers:1139Issues:0Issues:0

xls

XLS: Accelerated HW Synthesis

Language:C++License:Apache-2.0Stargazers:1166Issues:0Issues:0

hdl

HDL libraries and projects

Language:VerilogLicense:NOASSERTIONStargazers:1451Issues:0Issues:0

e203_hbirdv2

The Ultra-Low Power RISC-V Core

Language:VerilogLicense:Apache-2.0Stargazers:1169Issues:0Issues:0

chisel

Chisel: A Modern Hardware Design Language

Language:ScalaLicense:Apache-2.0Stargazers:3873Issues:0Issues:0

cocotb

cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

Language:PythonLicense:BSD-3-ClauseStargazers:1715Issues:0Issues:0

RTLFixer

Fix syntax errors of LLM-generated RTL

Language:PythonLicense:MITStargazers:14Issues:0Issues:0

matchlib

SystemC/C++ library of commonly-used hardware functions and components for HLS.

Language:C++License:NOASSERTIONStargazers:245Issues:0Issues:0

aws-fpga

Official repository of the AWS EC2 FPGA Hardware and Software Development Kit

Language:VHDLLicense:NOASSERTIONStargazers:1499Issues:0Issues:0

llm.c

LLM training in simple, raw C/CUDA

Language:CudaLicense:MITStargazers:22652Issues:0Issues:0

opi

OPI Main Repository

License:Apache-2.0Stargazers:88Issues:0Issues:0
Language:GoLicense:Apache-2.0Stargazers:145Issues:0Issues:0

dma_ip_drivers

Xilinx QDMA IP Drivers

Language:CStargazers:542Issues:0Issues:0
Language:C++License:NOASSERTIONStargazers:116Issues:0Issues:0

XRT

Run Time for AIE and FPGA based platforms

Language:C++License:NOASSERTIONStargazers:539Issues:0Issues:0
Language:C++License:NOASSERTIONStargazers:565Issues:0Issues:0

HLS

Vitis HLS LLVM source code and examples

License:NOASSERTIONStargazers:378Issues:0Issues:0

Vitis-AI

Vitis AI is Xilinx’s development stack for AI inference on Xilinx hardware platforms, including both edge devices and Alveo cards.

Language:PythonLicense:Apache-2.0Stargazers:1429Issues:0Issues:0

Vitis_Accel_Examples

Vitis_Accel_Examples

Language:MakefileLicense:MITStargazers:490Issues:0Issues:0

Vitis-Tutorials

Vitis In-Depth Tutorials

Language:CLicense:MITStargazers:1167Issues:0Issues:0

Vitis_Libraries

Vitis Libraries

Language:C++License:Apache-2.0Stargazers:859Issues:0Issues:0

Riscy-SoC

Riscy-SoC is SoC based on RISC-V CPU core, designed in Verilog

Language:VerilogLicense:MITStargazers:78Issues:0Issues:0