sifive / fpga-shells

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

Critical Warning on addIOB

sequencer opened this issue · comments

Here is emitted IO constraint:

set_property IOB {TRUE} [ get_cells -of_objects [ all_fanin -flat -startpoints_only [get_ports {uart_txd}]]]

In vivado 2020.1 synthesis report:

CRITICAL WARNING: [Common 17-679] Invalid object type, 'port', used with '-of_objects' switch. Supported types are: 'net, pblock, pin, timing path, bel, site, slr'.

is this desired behavior? @terpstra