rkrajnc / mandelbrot_fpga

Mandelbrot set implemented on an FPGA

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

mandelbrot_fpga

A Mandelbrot set explorer implemented on an FPGA

... in development ...

Description

A Mandelbrot set engine running on a Terasic DE10-nano (MiSTer) FPGA board. Currently, only a CPU controlled slideshow in 800x600 resolution is implemented, but most of the parts are already there.

Read more about it here: https://somuch.guru/category/mandelbrot/

TODOs

Create a proper MiSTer core with ability to be controlled (moved around, zoomed, etc) with the keyboard / joypad ...

Features

  • 256 iterations / pixel
  • 54bit fixed-point precision
  • 1.8G multiplications / sec
  • text overlay
  • OR1200 control CPU
  • adjustable output resolution
  • 32bit color output (VGA / HDMI)

Latest version

v0.6 release

A video of the core running can be seen on Youtube.

Versions

more runtime configurability; calculation engine extended from 1 to 8 parallel modules; CPU firmware for a slideshow of interesting points around the Mandelbrot set

Release files

mandelbrot engine moved to separate (faster) clock; added control cpu; cpu can do basic slideshow

Release files

Mandelbrot engine working; single image generated on video output

basic FPGA scaffolding; video pipe working

added some interesting Mandelbrot set points

algorithm implementation with double type in C

About

Mandelbrot set implemented on an FPGA

License:GNU General Public License v3.0


Languages

Language:Verilog 82.8%Language:C 5.3%Language:Shell 4.0%Language:Tcl 3.9%Language:Python 2.5%Language:SystemVerilog 0.7%Language:Assembly 0.6%Language:Makefile 0.3%Language:Mathematica 0.0%Language:Forth 0.0%