Nikola Petrovic's repositories

AXI4Test

Chisel3 AXI4 memory mapped register

Language:ScalaStargazers:0Issues:0Issues:0
Language:VerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

caravel_user_project

https://caravel-user-project.readthedocs.io

Language:VerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

chipyard

An Agile RISC-V SoC Design Framework with in-order cores, out-of-order cores, accelerators, and more

Language:CLicense:BSD-3-ClauseStargazers:0Issues:0Issues:0

computer-engineering-resources

A curated list of Computer Engineering resources

License:CC0-1.0Stargazers:0Issues:0Issues:0

dsp-blocks

A collection of common Digital Signal Processing block generators

Language:ScalaLicense:Apache-2.0Stargazers:0Issues:0Issues:0

ethernet-wrapper

Chisel wrapper for Alex Forencich ethernet.

Language:VerilogStargazers:0Issues:0Issues:0

fastvdma

Antmicro's fast, vendor-neutral DMA IP in Chisel

Language:ScalaLicense:Apache-2.0Stargazers:0Issues:0Issues:0
Language:ScalaStargazers:0Issues:0Issues:0

InterpolatorAndFIRs

ETF students project - Digital signal Interpolation

Language:Jupyter NotebookStargazers:0Issues:0Issues:0
Language:MakefileStargazers:0Issues:0Issues:0

rocket-chip

Rocket Chip Generator

Language:ScalaLicense:NOASSERTIONStargazers:0Issues:0Issues:0

rocket-chip-fpga-shells

Wrapper shells enabling designs generated by rocket-chip to map onto certain FPGA boards

Language:ScalaLicense:Apache-2.0Stargazers:0Issues:0Issues:0
License:BSD-3-ClauseStargazers:0Issues:0Issues:0

verilog-ethernet

Verilog Ethernet components for FPGA implementation

License:MITStargazers:0Issues:0Issues:0

XiangShan

Open-source high-performance RISC-V processor

Language:ScalaLicense:NOASSERTIONStargazers:0Issues:0Issues:0