mbekmyrz / max-finder

Vivado Project for finding the maximum number in an input sequence of 32-bit usigned integers.Custom IP

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

max-finder

Vivado Project for finding the maximum number in an input sequence of 32-bit usigned integers.

ip_repo contains the sortingIP which is the custom IP for the FPGA implementation. It stores the maximum between the last maximum and the new value that come to the input register.

ip_generator project is used for the creation of the custom ip (i.e. it is an empty project).

testing_sorting_ip is a sdk project that tests the hardware. It interfaces the user through a UART and sends the data to the internal register of the FPGA and gives output to the user.

About

Vivado Project for finding the maximum number in an input sequence of 32-bit usigned integers.Custom IP


Languages

Language:VHDL 55.7%Language:HTML 15.3%Language:C 11.6%Language:Coq 7.8%Language:Verilog 3.3%Language:SystemVerilog 2.4%Language:V 1.5%Language:C++ 1.5%Language:Tcl 0.4%Language:Scala 0.1%Language:Assembly 0.1%Language:JavaScript 0.1%Language:Makefile 0.1%Language:Shell 0.0%Language:CartoCSS 0.0%Language:Pascal 0.0%Language:Batchfile 0.0%