Mahmoud Magdi (MahmouodMagdi)

MahmouodMagdi

Geek Repo

Location:Cairo, Egypt

Home Page:flowcv.me/mahmoudmagdi

Github PK Tool:Github PK Tool

Mahmoud Magdi's repositories

Clock-Domain-Crossing-Synchronizers

Developed with the aim of providing engineers and designers with a centralized resource, this repository serves as a valuable reference for understanding and implementing robust clock domain crossing solutions in digital systems.

Language:VerilogLicense:MITStargazers:44Issues:3Issues:0

256-bit-Modular-Adder-Subtractor

Hardware Implementation of a Modular Adder/Subtractor

Language:VerilogStargazers:2Issues:0Issues:0

Asynchronous-FIFO

A verilog implementation of an aynchronous FIFO (First In First Out).

Language:VerilogStargazers:2Issues:1Issues:0

ASIC-Physical-Design-Roadmap

The journey of designing an ASIC (application specific integrated circuit) is long and involves a number of major steps – moving from a concept to specification to tape-outs. Although the end product is typically quite small (measured in nanometers), this long journey is interesting and filled with many engineering challenges.

Language:TclLicense:MITStargazers:1Issues:0Issues:0

Design-and-Verification-of-a-PCIe-Packet-Detector

Digital Design of a PICe packet detector FSM that detects whether the packet is a good or pad.

Language:SystemVerilogStargazers:1Issues:0Issues:0

RTL_Codes

This Repo. cotains different Verilog Codes of different Logic Units

Language:VerilogStargazers:1Issues:0Issues:0

64-bit-Single-Cycle-RISC-V-Core

Digital Design and ASIC Implementation of a 64-bit Single Cycle RISC-V Core that supports RV32I ISA

Language:SystemVerilogLicense:MITStargazers:0Issues:1Issues:0

cv32e40p

CV32E40P is an in-order 4-stage RISC-V RV32IMFCXpulp CPU based on RI5CY from PULP-Platform

Language:SystemVerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0

cvfpu

Parametric floating-point unit with support for standard RISC-V formats and operations as well as transprecision formats.

Language:SystemVerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0

ghdl

VHDL 2008/93/87 simulator

Language:VHDLLicense:GPL-2.0Stargazers:0Issues:0Issues:0

Memory-System-Verilog-Class-based-Testing-Environment

A SystemVerilog Class-Based Testing Environment to test 32*32 Memory Design

Language:SystemVerilogStargazers:0Issues:0Issues:0

pulpissimo

This is the top-level project for the PULPissimo Platform. It instantiates a PULPissimo open-source system with a PULP SoC domain, but no cluster.

Language:SystemVerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0

riscv-gnu-toolchain

GNU toolchain for RISC-V, including GCC

Language:CLicense:NOASSERTIONStargazers:0Issues:0Issues:0

RTL-Design-of-ARM-based-AHB-to-APB-Bridge

Bridges are standard bus-to-bus interfaces that allow IPs connected to different buses to communicate with each other in a standardized way

Language:SystemVerilogStargazers:0Issues:0Issues:0

RTL-to-GDS-Implementaton-of-Low-Power-Configurable-Multi-Clock-Digital-System-

It is resposable of receiving commands through UART receiver to do different system functions as register file reading/writing or doing some processing using ALU block and send result as well as CRC bits of result using 4 bytes frame through UART transmitter communication protocol.

Language:VerilogStargazers:0Issues:0Issues:0
Language:VerilogStargazers:0Issues:0Issues:0

Awesome-Profile-README-templates

A collection of awesome readme templates to display on your profile

Language:JavaScriptStargazers:0Issues:0Issues:0

Fixed-Point-Multiplications

Several methods are presented in this repository to multiply signed and unsigned operands, including the sequential add-shift method, the Booth algorithm, and an array multiplier.

Language:VerilogStargazers:0Issues:0Issues:0
Stargazers:0Issues:1Issues:0

Modular-Inverse

A SystemVerilog Implementation of the Montgomery Modular Inverse with Binary Extended Euclidean Algorithm

Language:VerilogStargazers:0Issues:0Issues:0

Modular-Multiplier

A System Verilog Design of the Shift-sub Modular Multiplier Algorithm

Language:SystemVerilogStargazers:0Issues:0Issues:0

PDK_ONC5

Educational Design Kit for Synopsys Tools with a set of Characterized Standard Cell Library

License:GPL-3.0Stargazers:0Issues:0Issues:0

riscv-isa-manual

RISC-V Instruction Set Manual

License:CC-BY-4.0Stargazers:0Issues:0Issues:0

riscv-tools

RISC-V Tools (GNU Toolchain, ISA Simulator, Tests)

License:NOASSERTIONStargazers:0Issues:0Issues:0

TaskScheduler

a hardware task scheduler design

Language:VerilogLicense:MITStargazers:0Issues:0Issues:0

u-boot-xlnx

The official Xilinx u-boot repository

Language:CStargazers:0Issues:0Issues:0

UART-Communication-Protocol

Verilog Hierarical Design of the UART

Stargazers:0Issues:1Issues:0