m47812 / HDL_Converter

A simple tool that can be used to convert the header syntax of a verilog module or VHDL entity to an instantiation syntax and create testbench structures (top level and verify). The project is aimed at removing the need for tedious refactoring of module headers when instantiating modules or verifying individual modules with testbenches.

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

m47812/HDL_Converter Stargazers