Robin (m47812)

m47812

Geek Repo

Location:Switzerland

Github PK Tool:Github PK Tool


Organizations
fhnw-ise-qcrypt

Robin's repositories

HDL_Converter

A simple tool that can be used to convert the header syntax of a verilog module or VHDL entity to an instantiation syntax and create testbench structures (top level and verify). The project is aimed at removing the need for tedious refactoring of module headers when instantiating modules or verifying individual modules with testbenches.

Language:C#License:AGPL-3.0Stargazers:6Issues:1Issues:6

Custom-3D-Printer-Controller

A fully custom 3D Printer controller board based on an stm32f7 controller with TMC2209 stepper drivers

License:GPL-3.0Stargazers:5Issues:0Issues:0

BIGTREETECH-SKR-V1.3

32bit board with LPC1768, support marlin2.0 and smoothieware, support lcd2004/12864, On-board TMC2130 SPI interface and TMC2208 UART interface no additional wiring is required

Language:C++Stargazers:1Issues:0Issues:0

cocotb

cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

Language:PythonLicense:NOASSERTIONStargazers:1Issues:0Issues:0

hdl-parser

C# projects that use ANTLR4 library to analyse VHDL and Verilog code

Language:C#Stargazers:1Issues:0Issues:0
Language:CStargazers:1Issues:0Issues:0
Language:CLicense:MITStargazers:1Issues:0Issues:0

CocoTb_Example

This repository contains a simple demo used in a presentation of mine giving a beginner's guide to using CocoTB. The project provides an example of how to use CocoTB for the verification of a simple 8-bit adder.

Language:PythonLicense:MITStargazers:0Issues:0Issues:0

hdl_toolbox

A toolbox for automating some of the more tedious refactoring tasks comming with common HDL languages (VHDL/Verilog). Including among others: entity to instance conversion and entity cross language conversion.

Language:PythonLicense:GPL-3.0Stargazers:0Issues:0Issues:0