pczarnecki's repositories

Language:DartStargazers:3Issues:0Issues:0
Language:ShellStargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0
Language:JavaStargazers:0Issues:0Issues:0

auto_pr_comments_from_forks

Shows how to make GitHub actions post PR comments from forked repositories

License:MITStargazers:0Issues:1Issues:0

bazel-verilog-test

Testing verilog build rules from https://github.com/hdl/bazel_rules_hdl

Language:StarlarkLicense:Apache-2.0Stargazers:0Issues:0Issues:0
Language:PythonStargazers:0Issues:0Issues:0
Language:C++Stargazers:0Issues:0Issues:0

buildx

Docker CLI plugin for extended build capabilities with BuildKit

License:Apache-2.0Stargazers:0Issues:0Issues:0

CFU-Playground

Want a faster ML processor? Do it yourself! -- A framework for playing with using custom opcodes to accelerating TensorFlow Lite for Microcontroller (TFLM).

Language:C++License:Apache-2.0Stargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0

litex

Build your hardware, easily!

License:NOASSERTIONStargazers:0Issues:0Issues:0
Language:GAPStargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0

node_pcb

Kicad design - ESP32 node board

Stargazers:0Issues:0Issues:0

picofoxy

Pipelined In-order Core for Artix-7 Arty-35T board

License:Apache-2.0Stargazers:0Issues:0Issues:0

PolarPro3

QuickLogic device PolarPro3 Information

License:Apache-2.0Stargazers:0Issues:0Issues:0
Language:TypeScriptStargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0

pythondata-cpu-vexriscv

Python module containing verilog files for vexriscv cpu (for use with LiteX).

License:MITStargazers:0Issues:0Issues:0
License:Apache-2.0Stargazers:0Issues:0Issues:0
Language:CStargazers:0Issues:0Issues:0

scripts

my utilities

Language:PythonStargazers:0Issues:0Issues:0

single_chan_pkt_fwd

Single Channel LoRaWAN Gateway

Language:C++License:NOASSERTIONStargazers:0Issues:0Issues:0

sv-tests

Test suite designed to check compliance with the SystemVerilog standard.

Language:SystemVerilogLicense:ISCStargazers:0Issues:1Issues:0
Stargazers:0Issues:0Issues:0

vtr-verilog-to-routing

Verilog to Routing -- Open Source CAD Flow for FPGA Research

License:NOASSERTIONStargazers:0Issues:0Issues:0

yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.

License:Apache-2.0Stargazers:0Issues:0Issues:0