jhmorris3486's repositories

chipyard

An Agile Chisel-Based SoC Design Framework

Language:ScalaLicense:NOASSERTIONStargazers:0Issues:0Issues:0

iso-profiles

This is a mirror repo of iso-profiles

Language:ShellStargazers:0Issues:0Issues:0

livehd

Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation

Language:VerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0
Language:ShellLicense:NOASSERTIONStargazers:0Issues:0Issues:0

OpenFPGA

OpenFPGA

Language:VerilogLicense:BSD-2-ClauseStargazers:0Issues:0Issues:0

OpenLane

NOTE: The master branch is frozen for OpenMPW2. Please direct any PRs to the develop branch. :: OpenLANE is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen, Fault and custom methodology scripts for design exploration and optimization.

Language:VerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

QGnomePlatform

QPlatformTheme for a better Qt application inclusion in GNOME

Language:C++License:LGPL-2.1Stargazers:0Issues:0Issues:0

Rift2Core

Based on Chisel3, Rift2Core is a 9-stage, out-of-order, 64-bits RISC-V Core, which supports RV64GC.

Language:ScalaLicense:Apache-2.0Stargazers:0Issues:0Issues:0

riscv-gnu-toolchain

GNU toolchain for RISC-V, including GCC

Language:CLicense:NOASSERTIONStargazers:0Issues:0Issues:0

SOFA

SOFA (Skywater Opensource FPGAs) based on Skywater 130nm PDK and OpenFPGA

Language:VerilogLicense:MITStargazers:0Issues:0Issues:0

Surelog

SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST API. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX

Language:C++License:Apache-2.0Stargazers:0Issues:0Issues:0

SYMPL-GP-GPU-Compute-Engines

Single, dual, quad, eight, and sixteen-shader GP-GPU-Compute engines, along with 32-bit SYMPL RISC CPU and Coarse-Grained Scheduler, in open-source Verilog RTL for IEEE754-2008 compliant, 32-bit single-precision floating-point accelerated applications.

Language:VerilogLicense:NOASSERTIONStargazers:0Issues:2Issues:0

yosys-examples

Verilog projects for simulation and logic synthesis (Icarus Verilog, YOSYS)

Language:VerilogStargazers:0Issues:0Issues:0