enjoy-digital / litex

Build your hardware, easily!

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

Building FemtoRV for arty-a7-100 using LiteX fails

JamesTimothyMeech opened this issue · comments

When I run python3 -m litex_boards.targets.digilent_arty --cpu-type femtorv --cpu-variant petitbateau --build --load --variant a7-100 --toolchain yosys+nextpnr the command fails with an error

There are two issues I can see from this error ERROR: Unable to read chipdb /usr/share/nextpnr/xilinx-chipdb/xc7a35t.bin:

  1. The variant option is not working as it should be using xc7a100t.bin not xc7a35t.bin.

  2. My chipdb for XIlinx chips is in nextpnr-xilxnx not nextpnr. Is there any easy way to set an environment variable to tell LiteX where to find chipdb?

I was able to fix this by properly setting up nextpnr-xilinx and prjxray and then setting the environment variables to point to the correct location for chipdb