Damien Pretet's repositories

async_fifo

A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog

Language:VerilogLicense:NOASSERTIONStargazers:229Issues:10Issues:6

axi-crossbar

An AXI4 crossbar implementation in SystemVerilog

Language:SystemVerilogLicense:MITStargazers:104Issues:2Issues:14

svut

SVUT is a simple framework to create Verilog/SystemVerilog unit tests. Just focus on your tests!

Language:PythonLicense:MITStargazers:65Issues:3Issues:12

vim-leader-mapper

Vim plugin to create Neovim leader key menu

Language:Vim ScriptLicense:MITStargazers:42Issues:2Issues:5

friscv

RISCV CPU implementation in SystemVerilog

Language:CoqLicense:MITStargazers:15Issues:3Issues:1

svlogger

SystemVerilog Logger

Language:SystemVerilogLicense:MITStargazers:15Issues:1Issues:1

bster

Implementation of a binary search tree algorithm in a FPGA/ASIC IP

Language:SystemVerilogLicense:MITStargazers:13Issues:1Issues:0

meduram

Multi-port BRAM IP for ASIC and FPGA

Language:SystemVerilogLicense:MITStargazers:10Issues:2Issues:0

zsh-quotify

A Zsh plugin printing coding quotes or haiku on startup

Language:ShellLicense:Apache-2.0Stargazers:7Issues:1Issues:0

chacha20

Chacha20 Implementation in SystemVerilog for FPGA and ASIC

Language:SystemVerilogLicense:MITStargazers:3Issues:1Issues:0

dotfiles

Zsh, Vim & Tmux dotfiles

Language:ShellLicense:MITStargazers:3Issues:1Issues:0

vim-veritoolbox

Vim plugin to work easier with Verilog & SystemVerilog

Language:PythonLicense:Apache-2.0Stargazers:3Issues:0Issues:0

chacha20.c

Basic implementation of Chacha2 algorithm in C

Language:CLicense:MITStargazers:2Issues:1Issues:0

pool_arena.c

Pool arena implementation

Language:CLicense:MITStargazers:2Issues:1Issues:1

vim-cheatsheet

Cheatsheet trying to gather the essential of Vim as an editing language

Stargazers:2Issues:0Issues:0

ascend-freepdk45

A free standard cell library for SDDS-NCL circuits

Language:SourcePawnStargazers:1Issues:0Issues:0

autofpga

A utility for Composing FPGA designs from Peripherals

Language:C++License:GPL-3.0Stargazers:1Issues:0Issues:0

blog

The Critical Path - a rambly FPGA blog

Stargazers:1Issues:0Issues:0
Language:Vim ScriptLicense:MITStargazers:1Issues:1Issues:0

nng

nanomsg-next-generation -- light-weight brokerless messaging

Language:CLicense:MITStargazers:1Issues:0Issues:0

nvim-lspconfig

Quickstart configurations for the Nvim LSP client

Language:LuaLicense:NOASSERTIONStargazers:1Issues:0Issues:0

symbolator

HDL symbol generator

Language:PythonLicense:MITStargazers:1Issues:0Issues:0

ufldl-mlnn-tutorial

UFLDL Tutorial - Multi layer neural network tutorial

Language:Jupyter NotebookStargazers:1Issues:1Issues:0

verible

Verible provides a SystemVerilog parser, style-linter, and formatter.

Language:C++License:Apache-2.0Stargazers:1Issues:0Issues:0

vim-commenter

Basic Vim / Neovim comment plugin, working on current line or on visual selection

Language:Vim ScriptLicense:MITStargazers:1Issues:1Issues:0

vim-markdown-tool

Markdown plugin for Vim provide facilities to write docs.

Language:PythonLicense:MITStargazers:1Issues:1Issues:0

wb2axip

Bus bridges and other odds and ends

Language:VerilogStargazers:1Issues:0Issues:0

XRT

Xilinx Run Time for FPGA

Language:C++License:NOASSERTIONStargazers:1Issues:0Issues:0
Language:SwiftStargazers:0Issues:1Issues:0