TiNredmc / icestudio

:snowflake: Visual editor for open FPGA boards

Home Page:https://icestudio.io

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

Build Status Build Mac

Version License

Digital Design for OpenSource FPGAs made easy: icestudio.io

Platforms

Linux, Mac and Windows

Documentation

Find all the information on this WIKI PAGE

Introduction

Icestudio is a design tool focused on new comers to the FPGA world

  • Step 1: Draw your circuit

  • Step 2: Upload it to your favorite OpenSource FPGA board

  • Step 3: Done! 😀️

Screenshots

Hello world examples from the iceK collection

Alhambra II board

(Example repo)

iceBreaker board

(Example repo)

Icemem collection: example 23

(Icemem exmple repo)

SPI 7 segments 4 digits controller example

(SPI Display Notes) (In spanish)

RISC-V CPU

(Risc-V FPGA icestudio repo)

Z80 CPU

(Z80-FPGA icestudio repo)

Hack CPU (Nand2tetris project)

(Nand2tetris-icestudio repo)

Languages

Language Translated strings
English (en) Progress
Spanish (en_ES) Progress
Italian (it_IT) Progress
French (fr_FR) Progress
Basque (eu_ES) Progress
Taiwan (zh_TW) Progress
Korean (ko_KR) Progress
Czech (cs_CZ) Progress
Turkish (tr_TR) Progress
German (de_DE) Progress
Russian (ru_RU) Progress
Catalan (ca_ES) Progress
Greek (el_GR) Progress
Dutch (nl_NL) Progress
Galician (gl_ES) Progress
Chinese (zh_CN) Progress

Contribute!

Add or update the app translations using Poedit.

Developer note: use npm run gettext to extract the labels from the code.

Contributors

Version Contributors
0.7 Carlos Venegas Arrabé, Juan González (Obijuan), Joaquim (jojo535275)
0.6 Carlos Venegas Arrabé, Juan González (Obijuan), Miodrag Milanovic, Pascal Cotret, aalku,, Lorea Aldabaldetreku, TimRudy, Sam, Kyle Lomem, pitrz, Francisco Sayas, jojo535275, Fernando Mosquera, Derek Lai, wifasoi, Carls, Datanoise, Andrew Goodney, Jose Miguel Sánchez García, Alejomejia, reclaimed, Marcel Ochsendorf, HwaGyeong
0.5 Carlos Venegas Arrabé, Juan González (Obijuan), Zakary Kamal Ismail, Sam
0.4 Carlos Venegas Arrabé, Elektor.Labs, Piotr Esden-Tempski, Miodrag Milanović, raTmole, Luca Cristaldi
0.3 Luke Valenty, Lorea-Aldabaldetreku, Carlos Díaz, Martoni, Xoan Sampaiño, Unai, Francisco Sayas, Pascal Cotret, Juan Jose Luna Espinosa, Salvador E. Tropea, redbeard, Eladio Delgado, Philipp van Kempen
0.2 Tomás Calvo, Juan González (Obijuan), Carlos Díaz, Xoan Sampaiño, Salvador E. Tropea, Democrito, Martoni, Pascal Cotret
0.1 Miguel Sánchez de León Peque

Credits

  • FPGAwars community has developed this project in a voluntary and altruistic way since 02/2017.

  • BQ sponsored this project from 02/2016 to 02/2017. Thanks

License

Licensed under GPL 2.0 and Creative Commons Attribution-ShareAlike 4.0 International License.


About

:snowflake: Visual editor for open FPGA boards

https://icestudio.io

License:GNU General Public License v2.0


Languages

Language:JavaScript 71.6%Language:HTML 18.2%Language:CSS 8.4%Language:NSIS 1.1%Language:Shell 0.5%Language:Python 0.2%