Francisco Javier Reina Campo (PacoReinaCampo)

PacoReinaCampo

Geek Repo

Company:QueenField

Location:Abu Dhabi

Home Page:http://queenfield.tech

Github PK Tool:Github PK Tool

Francisco Javier Reina Campo's starred repositories

logisim-evolution

Digital logic design tool and simulator

Language:JavaLicense:GPL-3.0Stargazers:4769Issues:0Issues:0

gpt4all

GPT4All: Run Local LLMs on Any Device. Open-source and available for commercial use.

Language:C++License:MITStargazers:69686Issues:0Issues:0

verilator

Verilator open-source SystemVerilog simulator and lint system

Language:C++License:LGPL-3.0Stargazers:2478Issues:0Issues:0

gtkwave

GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which reads LXT, LXT2, VZT, FST, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing.

Language:CLicense:GPL-2.0Stargazers:627Issues:0Issues:0

contiki

The official git repository for Contiki, the open source OS for the Internet of Things

Language:CLicense:NOASSERTIONStargazers:3709Issues:0Issues:0

or1ksim

The OpenRISC 1000 architectural simulator

Language:CLicense:GPL-3.0Stargazers:69Issues:0Issues:0

riscv-isa-sim

Spike, a RISC-V ISA Simulator

Language:CLicense:NOASSERTIONStargazers:2383Issues:0Issues:0

qemu

Official QEMU mirror. Please see https://www.qemu.org/contribute/ for how to submit changes to QEMU. Pull Requests are ignored. Please only use release tarballs from the QEMU website.

Language:CLicense:NOASSERTIONStargazers:10208Issues:0Issues:0

buildroot

Buildroot, making embedded Linux easy. Note that this is not the official repository, but only a mirror. The official Git repository is at https://gitlab.com/buildroot.org/buildroot/. Do not open issues or file pull requests here.

Language:MakefileLicense:NOASSERTIONStargazers:2690Issues:0Issues:0

meta-riscv

OpenEmbedded/Yocto layer for RISC-V Architecture

Language:BitBakeLicense:NOASSERTIONStargazers:358Issues:0Issues:0

qiskit

Qiskit is an open-source SDK for working with quantum computers at the level of extended quantum circuits, operators, and primitives.

Language:PythonLicense:Apache-2.0Stargazers:5105Issues:0Issues:0

magic

Magic VLSI Layout Tool

Language:CLicense:NOASSERTIONStargazers:472Issues:0Issues:0

inkscape

Project Website: https://inkscape.org - Code Repository: https://gitlab.com/inkscape/inkscape - Draw freely. 🖌

Stargazers:2163Issues:0Issues:0

ahir

Algorithm to hardware compilation tools (e.g. C to VHDL).

Language:VHDLLicense:NOASSERTIONStargazers:39Issues:0Issues:0

oss-cad-suite-build

Multi-platform nightly builds of open source digital design and verification tools

Language:ShellLicense:ISCStargazers:804Issues:0Issues:0

vunit

VUnit is a unit testing framework for VHDL/SystemVerilog

Language:VHDLLicense:NOASSERTIONStargazers:722Issues:0Issues:0

doxygen

Official doxygen git repository

Language:C++License:GPL-2.0Stargazers:5603Issues:0Issues:0

pandas

Flexible and powerful data analysis / manipulation library for Python, providing labeled data structures similar to R data.frame objects, statistical functions, and much more

Language:PythonLicense:BSD-3-ClauseStargazers:43410Issues:0Issues:0

oh

Verilog library for ASIC and FPGA designers

Language:VerilogLicense:MITStargazers:1164Issues:0Issues:0

riscv-boom

SonicBOOM: The Berkeley Out-of-Order Machine

Language:ScalaLicense:BSD-3-ClauseStargazers:1693Issues:0Issues:0

myhdl

The MyHDL development repository

Language:PythonLicense:LGPL-2.1Stargazers:1032Issues:0Issues:0

kicad-source-mirror

This is an active mirror of the KiCad development branch, which is hosted at GitLab (updated every time something is pushed). Pull requests on GitHub are not accepted or watched.

Language:C++License:GPL-3.0Stargazers:1871Issues:0Issues:0
Language:SystemVerilogLicense:Apache-2.0Stargazers:69Issues:0Issues:0

sby

SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows

Language:PythonLicense:NOASSERTIONStargazers:390Issues:0Issues:0

wavedrom

:ocean: Digital timing diagram rendering engine

Language:JavaScriptLicense:MITStargazers:2946Issues:0Issues:0

srsRAN_Project

Open source O-RAN 5G CU/DU solution from Software Radio Systems (SRS) https://docs.srsran.com/projects/project

Language:C++License:AGPL-3.0Stargazers:483Issues:0Issues:0

UVVM

UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of FPGA and ASIC – resulting also in significant quality improvement. Community forum: https://forum.uvvm.org/ UVVM.org: https://uvvm.org/

Language:VHDLLicense:Apache-2.0Stargazers:361Issues:0Issues:0

openscad

OpenSCAD - The Programmers Solid 3D CAD Modeller

Language:C++License:NOASSERTIONStargazers:6966Issues:0Issues:0

ghdl-yosys-plugin

VHDL synthesis (based on ghdl)

Language:VHDLLicense:GPL-3.0Stargazers:304Issues:0Issues:0

scr1

SCR1 is a high-quality open-source RISC-V MCU core in Verilog

Language:SystemVerilogLicense:NOASSERTIONStargazers:844Issues:0Issues:0