Massine's starred repositories

AltaCV

Yet another alternative curriculum vitae/résumé class with LaTeX

Language:TeXLicense:NOASSERTIONStargazers:1268Issues:0Issues:0

ResuLLMe

Enhance your résumé with Large Language Models

Language:PythonLicense:MITStargazers:290Issues:0Issues:0

dmpvl

Dave McEwan's Personal Verilog Library

Language:SystemVerilogStargazers:4Issues:0Issues:0

verilog-axi

Verilog AXI components for FPGA implementation

Language:VerilogLicense:MITStargazers:1401Issues:0Issues:0

awesome-open-hardware-verification

A List of Free and Open Source Hardware Verification Tools and Frameworks

License:MITStargazers:472Issues:0Issues:0

VeriGPU

OpenSource GPU, in Verilog, loosely based on RISC-V ISA

Language:SystemVerilogLicense:MITStargazers:750Issues:0Issues:0

RiVer_SoC

Description of a RISC-V architecture based on MIPS 3000

Language:C++License:MITStargazers:12Issues:0Issues:0

AMBA_AXI_AHB_APB

AMBA bus lecture material

Stargazers:4Issues:0Issues:0

AMBA_APB

To design test bench of the APB protocol

Language:SystemVerilogLicense:MITStargazers:15Issues:0Issues:0

verible

Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server

Language:C++License:NOASSERTIONStargazers:1294Issues:0Issues:0

siliconcompiler

A modular build system for hardware

Language:PythonLicense:Apache-2.0Stargazers:816Issues:0Issues:0

smartknob

Haptic input knob with software-defined endstops and virtual detents

Language:C++License:NOASSERTIONStargazers:18884Issues:0Issues:0

awesome-zero-knowledge-proofs

A curated list of awesome things related to learning Zero-Knowledge Proofs (ZKP).

License:NOASSERTIONStargazers:5144Issues:0Issues:0

arch-int-vpn

Docker build script for Arch Linux base with OpenVPN, WireGuard, Privoxy (http(s) proxy) and microsocks (Socks5 proxy)

Language:ShellLicense:GPL-3.0Stargazers:44Issues:0Issues:0

kianFpgaPong

Basic Pong you can extend with rotary, sound, vga generator and autopilot

Language:VerilogLicense:ISCStargazers:11Issues:0Issues:0

deepC

vendor independent TinyML deep learning library, compiler and inference framework microcomputers and micro-controllers

Language:C++License:Apache-2.0Stargazers:545Issues:0Issues:0

CORDIC

CORDIC VLSI-IP for deep learning activation functions

Language:VerilogLicense:GPL-3.0Stargazers:12Issues:0Issues:0

gltut

Learning Modern 3D Graphics Programming

Language:C++License:MITStargazers:1520Issues:0Issues:0

digilent-xdc

A collection of Master XDC files for Digilent FPGA and Zynq boards.

Language:TclLicense:MITStargazers:497Issues:0Issues:0

projf-explore

Project F brings FPGAs to life with exciting open-source designs you can build on.

Language:SystemVerilogLicense:MITStargazers:557Issues:0Issues:0
Language:CLicense:NOASSERTIONStargazers:852Issues:0Issues:0

riscV

Open source ISS and logic RISC-V 32 bit project

Language:C++License:GPL-3.0Stargazers:32Issues:0Issues:0

learn-fpga

Learning FPGA, yosys, nextpnr, and RISC-V

Language:C++License:BSD-3-ClauseStargazers:2489Issues:0Issues:0

SimpleVOut

A Simple FPGA Core for Creating VGA/DVI/HDMI/OpenLDI Signals

Language:VerilogStargazers:228Issues:0Issues:0

Silice

Silice is an easy-to-learn, powerful hardware description language, that simplifies designing hardware algorithms with parallelism and pipelines.

Language:C++License:NOASSERTIONStargazers:1276Issues:0Issues:0

riscv-gcc-prebuilt

📦 Prebuilt RISC-V GCC toolchains for x64 Linux.

Language:ShellLicense:GPL-2.0Stargazers:83Issues:0Issues:0

neorv32

:desktop_computer: A small, customizable and extensible MCU-class 32-bit RISC-V soft-core CPU and microcontroller-like SoC written in platform-independent VHDL.

Language:VHDLLicense:BSD-3-ClauseStargazers:1522Issues:0Issues:0

FPGADesignElements

A self-contained online book containing a library of FPGA design modules and related coding/design guides.

Language:HTMLLicense:MITStargazers:385Issues:0Issues:0

darkriscv

opensouce RISC-V cpu core implemented in Verilog from scratch in one night!

Language:VerilogLicense:BSD-3-ClauseStargazers:2034Issues:0Issues:0

open-source-fpga-resource

A list of resources related to the open-source FPGA projects

Stargazers:372Issues:0Issues:0