Институт МПСУ (MPSU)

Институт МПСУ

MPSU

Geek Repo

Институт микроприборов и систем управления имени Л.Н. Преснухина, Национальный исследовательский университет «МИЭТ»

Location:Russian Federation

Home Page:https://miet.ru/structure/s/2774

Github PK Tool:Github PK Tool

Институт МПСУ's repositories

APS

Методические материалы по разработке процессора архитектуры RISC-V

Language:SystemVerilogLicense:CC-BY-SA-4.0Stargazers:106Issues:7Issues:20

exAPS

Архитектуры процессорных систем (старый репозиторий, ранее размещавшийся по адресу github.com/MPSU/APS)

FPGA_pract

Методические материалы курса "Практикум по ПЛИС"

Language:SystemVerilogStargazers:16Issues:3Issues:5

PCU-Verilog-labs

Методические материалы к лабораторным работам дисциплины "Проектирование цифровых устройств на языке Verilog"

OOP

Object-oriented programming course

Language:C++Stargazers:10Issues:2Issues:0

OS-info

Курс "Операционные системы" для ИВТ

MIRISCV

Открытое RISC-V процессорное ядро MIRISCV для образовательных целей

Language:AssemblyLicense:NOASSERTIONStargazers:7Issues:4Issues:0

SoCDC_RTL_2024

Задание RTL и RTL Pro треков хакатона SoC Design Challenge 2024

Language:SystemVerilogStargazers:3Issues:0Issues:0

APS-lect-presentations

Презентации к лекциям по АПС

License:CC-BY-SA-4.0Stargazers:1Issues:4Issues:0
Language:C++Stargazers:1Issues:4Issues:0

FPGA_VA

Accelerate your verificiation modeling through FPGA

Language:TclStargazers:1Issues:4Issues:0

APS-reborn

Заглушка для информирования о смене адреса

License:CC-BY-SA-4.0Stargazers:0Issues:4Issues:0

EHC

Effective Heterogeneous Computing

Stargazers:0Issues:4Issues:0

CYBERsnake

Киберзмейка на киберкобре

Language:C++License:NOASSERTIONStargazers:0Issues:0Issues:0