Ammar Bin Amir (Ammar-Bin-Amir)

Ammar-Bin-Amir

Geek Repo

Company:MERL-UITU

Location:Karachi, Pakistan

Github PK Tool:Github PK Tool

Ammar Bin Amir's repositories

Traffic_Control_System

One Way Traffic Flow System

I2C

RTL Design of Inter-Integrated Circuit

Language:VerilogStargazers:1Issues:1Issues:0

PLC_Program_for_Food_Processing_Industry

Detection and Removal of Burnt Chips

Poultry_Bot

Final Year Project

Language:MakefileStargazers:1Issues:1Issues:0

SPI

RTL Design of Serial Peripheral Interface

Language:VerilogStargazers:1Issues:1Issues:0

SystemVerilog_Practice

Practice Codes of SystemVerilog Language

Language:SystemVerilogStargazers:1Issues:1Issues:0

UART

RTL Design of Universal Asynchronous Receiver-Transmitter

Language:VerilogStargazers:1Issues:1Issues:0

Verilog_Practice

Practice Codes of Verilog Language

Language:VerilogStargazers:1Issues:1Issues:0

3D_Model_of_a_Classroom

Designing 2D Layout into 3D Layout, the First Step before Development

Stargazers:0Issues:1Issues:0

ARTY_A7_I2C_BME280

Integration of Arty A7-100T with BME280 Pressure Sensor for Pressure Sensing and FPGA Testing

Language:VerilogStargazers:0Issues:1Issues:0

ARTY_A7_I2C_MPU-6050

Integration of Arty A7-100T with MPU-6050 Gyroscope Sensor for Motion Sensing and FPGA Testing

Language:VerilogStargazers:0Issues:1Issues:0

AXI4

RTL Design of AXI4 Bus Protocol followed by AXI4-Lite Bus Protocol and Handshaking Communication Principle

Language:VerilogStargazers:0Issues:1Issues:0

Bluetooth_Controlled_Robot_using_Arduino

Excited to Develop a Remote Controlled Car using Arduino!

Language:C++Stargazers:0Issues:0Issues:0

Closed_Loop_DC_Motor_Speed_Control

Controlling Speed of DC Motor using PID Controller

Stargazers:0Issues:1Issues:0

RV32I_5-Stage_Pipelined_CPU

Processor Design of RV32I 5-Stage Pipelined CPU

Language:SystemVerilogStargazers:0Issues:1Issues:0

RV32I_Single_Cycle_CPU

Processor Design of RV32I Single Cycle CPU

Language:SystemVerilogStargazers:0Issues:1Issues:0

IoT_Monitoring_Device

Monitoring of common Environmental Parameters

Language:C++Stargazers:0Issues:1Issues:0

Light_Intensity_Meter

IoT based Light Intensity Meter

Language:C++Stargazers:0Issues:1Issues:0

Open_Loop_DC_Motor_Speed_Control

Controlling Speed of DC Motor using Potentiometer

Stargazers:0Issues:1Issues:0

Overhead_Water_Tank_System

Easy and Basic Electronics Project

Stargazers:0Issues:1Issues:0

RADAR_Application_using_Cross_Correlation_in_MATLAB

How RADAR detects an aircraft? Find out basic working principle using MATLAB!

Language:MATLABStargazers:0Issues:0Issues:0

Solar_Tracking_System

Making the Solar Panel Efficiency to Maximum

Stargazers:0Issues:1Issues:0

Unbiased_Electronic_Dice_with_LEDs

Making it difficult for the User to Bias this Electronic Dice as compared to the Conventional Dice

Stargazers:0Issues:1Issues:0