Yilin Mo (yilinmo)

yilinmo

Geek Repo

Company:Tsinghua University

Location:China

Home Page:yilinmo.github.io

Github PK Tool:Github PK Tool

Yilin Mo's repositories

yilinmo.github.io

Personal Website

Language:HTMLLicense:NOASSERTIONStargazers:4Issues:4Issues:0
Language:Jupyter NotebookStargazers:2Issues:2Issues:1

rotrl

rise of the runelords advernture path record

EE8087

Materials for EE8087 Living with Mathematics

Language:HTMLStargazers:1Issues:2Issues:0

IoTCourse

IoT Course for Dept of Automation, THU student

Language:HTMLStargazers:1Issues:2Issues:0
Language:TeXStargazers:0Issues:2Issues:0
Language:JavaScriptStargazers:0Issues:0Issues:0
Language:TeXStargazers:0Issues:2Issues:0
Language:TeXStargazers:0Issues:1Issues:0
Language:Vim scriptStargazers:0Issues:2Issues:0
Stargazers:0Issues:2Issues:0

fzf-extras

Key bindings from fzf wiki

Language:ShellLicense:MITStargazers:0Issues:1Issues:0

fzf-tab

Replace zsh's default completion selection menu with fzf!

Language:ShellLicense:MITStargazers:0Issues:1Issues:0

hyde

A brazen two-column theme for Jekyll.

Language:CSSLicense:NOASSERTIONStargazers:0Issues:2Issues:0
Language:TeXStargazers:0Issues:2Issues:0
Language:TeXStargazers:0Issues:2Issues:0

qmk_firmware

Open-source keyboard firmware for Atmel AVR and Arm USB families

Language:CLicense:GPL-2.0Stargazers:0Issues:1Issues:0
Language:PythonStargazers:0Issues:1Issues:0

rpi_ws281x

Userspace Raspberry Pi PWM library for WS281X LEDs

Language:CLicense:BSD-2-ClauseStargazers:0Issues:1Issues:0
Language:JavaScriptStargazers:0Issues:2Issues:0

tmux-powerline

Statusbar configuration for tmux that looks like vim-powerline and consist of dynamic segments.

Language:ShellLicense:NOASSERTIONStargazers:0Issues:1Issues:0
Language:TeXStargazers:0Issues:1Issues:0

xelatex-zh-book

An XeLaTeX document style for typesetting Simplified Chinese books.

Language:TeXLicense:Apache-2.0Stargazers:0Issues:1Issues:0
Language:CSSLicense:NOASSERTIONStargazers:0Issues:2Issues:0

yyf

Ultimate YY Fantasy

Stargazers:0Issues:2Issues:0