xw2333's repositories

bnn

Training and Implementation of a CNN for image classification with binary weights and activations on FPGA with HLS tools

Language:Jupyter NotebookLicense:MITStargazers:1Issues:1Issues:0

ROOT_FPGA

主要是扫地机器人的fpga模块代码。

Language:VerilogStargazers:1Issues:0Issues:0

BNN_IceStick

binary Neural Network on IceStick FPGA

Language:Jupyter NotebookLicense:MITStargazers:0Issues:0Issues:0

CHaiDNN

HLS based Deep Neural Network Accelerator Library for Xilinx Ultrascale+ MPSoCs

Language:C++License:NOASSERTIONStargazers:0Issues:0Issues:0
Language:C++Stargazers:0Issues:0Issues:0

Convolutional-Neural-Network

Implementation of CNN using Verilog

Language:VerilogStargazers:0Issues:0Issues:0
Language:VerilogStargazers:0Issues:0Issues:0

FPGA-Imaging-Library

An open source library for image processing on FPGA.

Language:VerilogLicense:LGPL-2.1Stargazers:0Issues:0Issues:0

hls4ml

Machine learning in FPGAs using HLS

Language:C++License:Apache-2.0Stargazers:0Issues:0Issues:0
Language:VerilogStargazers:0Issues:0Issues:0

posture_rec_zcu

achieved CNN(specific yolo)network baes on xilinx zcu102

Language:CStargazers:0Issues:0Issues:0

Reinforcement-learning-with-tensorflow

Simple Reinforcement learning tutorials

Language:PythonLicense:MITStargazers:0Issues:0Issues:0

sobel_hls_vivado

利用hls综合成sobelIP,然后在zynq中调用IP,实现显示功能

Stargazers:0Issues:0Issues:0

Verilog-Generator-of-Neural-Net-Digit-Detector-for-FPGA

Verilog Generator of Neural Net Digit Detector for FPGA

Language:VerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0
Language:C++Stargazers:0Issues:0Issues:0

xw2333.github.io

My Blog / Jekyll Themes / PWA

Language:CSSLicense:Apache-2.0Stargazers:0Issues:0Issues:0