vakochetkov's repositories

aiowc

Asynchronous python wrapper for WooCommerce REST API

Language:PythonLicense:MITStargazers:4Issues:0Issues:0

eclipse-embed-cdt-gtest-template

Template for MCU Eclipse projects like STM32 with unit-test support

Language:C++Stargazers:2Issues:0Issues:0

EnhancedChargerV3

EnhancedChargerV3 | Based on STM32G070KBT6 and IP2312

Language:CStargazers:1Issues:2Issues:0

stm32loader

Python script to load firmware using STM32 bootloader

Language:PythonStargazers:1Issues:1Issues:0
Language:VHDLLicense:WTFPLStargazers:1Issues:2Issues:0

verilog-cheatsheet

Static files

Language:HTMLStargazers:1Issues:0Issues:0

emdr1986x-std-per-lib

Milandr MCU 1986x Standard Peripherals Library. Mirror:

Language:CStargazers:0Issues:0Issues:0

leOS

leOS is a little interrupt-driven scheduler to execute little routines in background - For Arduino boards and Atmel microcontrollers

Language:C++Stargazers:0Issues:1Issues:0

pyqt-escpos

Tiny GUI for python-escpos tested with QR701 receipt printer

Language:PythonLicense:MITStargazers:0Issues:2Issues:0

libusbhost

Open source USB host stack for embedded devices

Language:CLicense:GPL-3.0Stargazers:0Issues:1Issues:0

LTSpice-Libraries

LTSpice Component Libraries

Stargazers:0Issues:0Issues:0

mdr1986x-JFlash

Milandr MCU 1986x flashing with J-Link

Language:CLicense:MITStargazers:0Issues:1Issues:0

microrl

micro read line library for small and embedded devices

Language:CLicense:Apache-2.0Stargazers:0Issues:0Issues:0
Language:VHDLStargazers:0Issues:0Issues:0

printf

Tiny, fast, non-dependent and fully loaded printf implementation for embedded systems. Extensive test suite passing.

Language:CLicense:MITStargazers:0Issues:1Issues:0

STM32-base

A simple start for any STM32 based project.

Language:AssemblyLicense:GPL-3.0Stargazers:0Issues:1Issues:0

ThinkpadBattery

Open source Thinkpad T420 battery design

License:MITStargazers:0Issues:0Issues:0

vp_sales_helper

Async telegram bot with aiohttp server

Language:PythonLicense:Apache-2.0Stargazers:0Issues:0Issues:0