troyguo

troyguo

Geek Repo

Location:Shanghai, China

Home Page:troyguo.github.com

Github PK Tool:Github PK Tool

troyguo's repositories

awesome-dv

Awesome ASIC design verification

dvcon_download

Download proccedings from DVCon

Language:ShellLicense:Apache-2.0Stargazers:21Issues:3Issues:0

Penglai-SagittaVerificationPlatform

Sagitta_verification_platform from Penglai

Stargazers:1Issues:0Issues:0

util-linux

backup upstream repository

Language:CLicense:GPL-2.0Stargazers:1Issues:1Issues:0

antikernel-ipcores

FPGA IP cores for the Antikernel OS, intended to be included as a submodule in SoC integrations

Language:SystemVerilogLicense:BSD-3-ClauseStargazers:0Issues:1Issues:0

ARM_documents

Documents for ARM

Language:VerilogStargazers:0Issues:0Issues:0

AutoSVA

AutoSVA is a tool to automatically generate formal testbenches for unit-level RTL verification. The goal is to, based on annotations made in the signal declaration section of an RTL module, generate liveness properties so that the module would eventually make forward progress.

Language:PythonLicense:NOASSERTIONStargazers:0Issues:0Issues:0

awesome-opensource-hardware

List of awesome open source hardware projects

Language:PythonLicense:MITStargazers:0Issues:0Issues:0

baremetal-arm

An ebook about bare-metal programming for ARM

Language:CLicense:NOASSERTIONStargazers:0Issues:0Issues:0

basic_verilog

Must-have verilog systemverilog modules

Language:VerilogStargazers:0Issues:1Issues:0

C

Collection of various algorithms in mathematics, machine learning, computer science, physics, etc implemented in C for educational purposes.

Language:CLicense:GPL-3.0Stargazers:0Issues:1Issues:0

cpplinks

A categorized list of C++ resources.

Stargazers:0Issues:1Issues:0
Language:C++License:MITStargazers:0Issues:0Issues:0

opencores-scraper

few python scripts to clone all IP cores from opencores.org

Language:HTMLLicense:GPL-2.0Stargazers:0Issues:0Issues:0
Language:CLicense:NOASSERTIONStargazers:0Issues:0Issues:0

pcie5_phy

PCIE 5.0 Graduation project (Verification Team) under supervision of Mentor Graphics

Language:VerilogStargazers:0Issues:0Issues:0

raspberry-pi-os

Learning operating system development using Linux kernel and Raspberry Pi

License:MITStargazers:0Issues:0Issues:0

rv64x-base

Open source GPU extension for RISC-V

Language:DockerfileLicense:BSD-3-ClauseStargazers:0Issues:0Issues:0
Language:SystemVerilogStargazers:0Issues:1Issues:0
Language:PythonLicense:MITStargazers:0Issues:0Issues:0

SimpleCPU

An open source CPU design and verification platform for academia

Language:CLicense:BSD-3-ClauseStargazers:0Issues:1Issues:0

skybox

Vortex Graphics

Language:VerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0
Language:SystemVerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0

tlm2-interfaces

contains TLM2 based interfaces for AXI, ACE, CHI and other standard protocols

Language:C++License:Apache-2.0Stargazers:0Issues:1Issues:0

verify_practice

wechat public account verify_practice codebase

Language:SystemVerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

VeriGPU

OpenSource GPU, in Verilog, loosely based on RISC-V ISA

Language:SystemVerilogLicense:MITStargazers:0Issues:0Issues:0

vicuna

RISC-V Zve32x Vector Coprocessor

Language:AssemblyLicense:ISCStargazers:0Issues:1Issues:0
Language:VerilogLicense:Apache-2.0Stargazers:0Issues:1Issues:0
Stargazers:0Issues:1Issues:0

wav-lpddr-hw

Wavious DDR (WDDR) Physical interface (PHY) Hardware

Language:SystemVerilogLicense:Apache-2.0Stargazers:0Issues:1Issues:0